Codebase list gtkwave / 4165937
Imported Upstream version 3.3.46 أحمد المحمودي (Ahmed El-Mahmoudy) 10 years ago
68 changed file(s) with 12457 addition(s) and 13469 deletion(s). Raw diff Collapse all Expand all
(New empty file)
+0
-1282
CHANGELOG.TXT less more
0 3.0.0 01may06 Initial release after promotion from 1.3.86 in order to reduce
1 confusion with 2.x series.
2 Added user's manual pdf file to distribution in doc/.
3 Added vertex and rtlbrowse for sourcecode annotation.
4 3.0.1 09may06 Automatically add extensions to save filenames in gtkwave.
5 Cygwin compile fixes. Add Cygwin functionality for fork()
6 related ops that do in fact work properly.
7 3.0.2 09may06 More fixes for full function (except pthreads) in Cygwin.
8 Requires usage of Cygserver if rtlbrowse is to work.
9 Fixed fonts for Cygwin to improve readability.
10 The Courier font is very bad looking and blitter mangled under
11 cygwin.
12 3.0.3 29may06 Changed yylineno references in vlex.l in rtlbrowse to
13 my_yylineno as newer versions of flex automatically define
14 this and cause duplicate symbols.
15 Saw there were still problems with courier on debian. Also
16 check for misc-fixed on non-cygwin systems just in case.
17 3.0.4 30may06 Added busy watch (hourglass) for long ops that lock the GUI.
18 Fixed replace function in treesearch_gtk2 so it doesn't
19 simply replace with the last signal. (Iterator shouldn't
20 be used the way it was.)
21 3.0.5 08jun06 Reworked tree structure code to handle new tree layout
22 such that strings are embedded at the end of struct. This
23 reduces memory usage overall.
24 Added in implicit hierarchy split on pipe character in
25 symbol names as these only really happen in netlists that
26 have escaped identifiers.
27 For vzt and lxt2 loaders added block allocation of symbols
28 and nodes during init in order to allow denser memory
29 allocation as the bookkeeping overhead between allocations
30 internal to malloc() is unnecessary as the number of
31 elements is known at the outset. Also removed hashing in
32 aet2, lxt, lxt2, vzt as sym[hv] is unused on sorted facs.
33 Removed unnecessary strlen() calls after sprintf() in the
34 dumpfile loaders as the string length is known from the rc
35 of sprintf.
36 Fixed T_SCOPE rule in vcd.c as dotted hierarchies would
37 throw off the parser as hierarchies themselves are
38 (in practice) never really escaped.
39 In tree.c, treenamefix() would recurse more than necessary
40 such that some dotted hierarchies would cause an order of
41 n squared recursion and as such never complete running
42 due to the size of the hierarchy.
43 Found non _2 versions of memory allocate/free being used
44 in various non-library places.
45 Fixed signal aliasing problems in GHW traces that have
46 memories or aliased signals in ghw.c.
47 Added handling for e8 datatypes and arrays in ghwlib.c
48 and ghw.c.
49 Updated ghwlib.c and main.c in order to handle gzip or
50 bzip2 compressed files. Extension can be .ghw, .ghw.gz,
51 or .ghw.bz2 and the file will be handled properly. Note
52 that as the matchword is consulted, just .ghw can be used
53 to specify a gzip or bzip2 file implicitly.
54 Added ghwdump and ghwdump.1 to the distribution (from ghdl)
55 as it is helpful for debugging ghw file failures.
56 3.0.6 14jul06 Added "Real" option for data type display as passing around
57 real numbers in Verilog across modules needs to be done
58 with $realtobits and $bitstoreal.
59 Added scripting command flag --script in order to allow
60 autosetup of things that are outside of config file control.
61 This also allows doing things such as automated print jobs.
62 Added --nowm to remove window manager control on most
63 windows the viewer brings up.
64 Added --xid to allow turning the viewer into a plug-in for
65 calling by foreign applications. This will allow integration
66 of the viewer into a single window for example, with IDEs.
67 Added --nomenus to remove menus for the case when used in
68 conjunction with --xid and it is desired to create an
69 embedded wave viewer applet that cannot initiate file I/O
70 on its own. Note that earlier versions of GTK+ cannot
71 handle menu events properly from a GtkPlug.
72 Fixed problem with dead memory allocations for GtkColor
73 structs in color.c as found by Valgrind.
74 Moved .odt version of the user's guide into doc/ rather than
75 the pdf version as this is a sourcecode distribution so the
76 original word processor document should be there. The .pdf
77 will still be up on the homepage on the website.
78 Added example script (for use with the -S option) in the
79 examples/ directory that prints some waves then exits.
80 Found problem with directive-based string embedding in older
81 gcc compiler versions.
82 GTK+-1.2 doesn't handle GtkPlug.
83 3.0.7 17jul06 Added support for dualview of waveforms using GtkPlug and
84 shared memory IPC through use of the "twinwave" front end.
85 Updated documentation to add a section on twinwave.
86 3.0.8 04aug06 Modified order of flags in twinwave as appending flags
87 does not work when longopt isn't used.
88 Subscripted GtkItemFactoryEntry menu_items for AIX compile.
89 More AIX fixes such as conditional alloca.h usage and
90 not including getopt.h.
91 Removed unused "bus" element from struct fac.
92 Added interactive loading of vcd files with the routines in
93 vcd_partial.c. Added shmidcat to the distribition to test
94 this new interactive loading functionality.
95 Added real_parameter vartype (Riviera Aldec 2006.6) in all
96 vcd loaders.
97 3.0.9 10aug06 Fixing compiler warnings across various systems.
98 Minor speedup in sigcmp() in bitvec.c for EOS detection.
99 Fix in vcd_partial.c loader that crashed on interactive
100 loads when blank traces are present in the save file.
101 3.0.10 13aug06 Found inadvertant 64/32 bit conversion in regex.c that was
102 from not including "debug.h" header file.
103 Missing headers for gcc-3.2.x and earlier dealing with
104 select().
105 Converted fgetmalloc() to use vlists in order to cut
106 down on the backflips and traversal required for the old
107 one character per struct method.
108 Added VCD recoder that stores the VCD in memory using a
109 new recoding scheme rather than histents. HistEnt structs
110 are created as needed. The old VCD loader is still used for
111 --interactive, or if --legacy is used at the command line.
112 Added dynamic zlib compression support to VCD recoder and
113 vlist_compression_depth rc variable. -1 disables, 0-9
114 mirror zlib compression depths.
115 3.0.11 12sep06 Updated documentation to include section on VCD recoding
116 strategy and LXT (version 1) file format.
117 Removed unnecessary mallocs and functions in vcd_recoder.c.
118 Cleanup of all warnings with gcc -pedantic in gcc3
119 excluding long long integer constant and string constant
120 length warnings.
121 Disabled splash screen when loading VCD from stdin.
122 Cygwin compile fixes (3.0.10 broke the compile).
123 3.0.12 19sep06 Updated production rule in verilog.g to handle compiling
124 parameterized components in opensparc without dying.
125 Integrated a lot of compatibility fixes from Peter O'Gorman.
126 Actually use config.h for better compatibility now.
127 Check for endianness in vzt read/write if XDRs not available
128 rather than assuming windows will byte reverse.
129 Fixed single time read in vzt_read.c as it wasn't working
130 properly in rtlbrowse.
131 Added -f (insert args from file) option to vertex.
132 3.0.13 06oct06 Updated odt file documentation to make chapter-like page
133 breaks.
134 Updated lxt2vcd and vzt2vcd to emit proper VCD headers for
135 other tools that expect them.
136 Added attempt_vecmatch() in bitvec.c to match vectors based
137 on numbers inside nets in order to match on vectors inside
138 of flattened netlist latches (e.g., top.xyz[0:7]_Z).
139 Fixed regex compare on illegal regexs that caused viewer
140 crash (e.g., when comparing on a single left bracket or
141 any other illegal regex).
142 3.0.14 17oct06 Fixed vztminer and lxt2miner so not specifying -n actually
143 works. Updated manpages and UM for those executables to
144 fix description errors.
145 Now can snap cursor to named markers--this was overlooked.
146 Changed recoder scheme for single bits slightly in order
147 to pack 2 more bits in for 0/1 transitions. This implies
148 that 0..31 timesteps can be encoded in 1 byte for the
149 common case.
150 3.0.15 27oct06 Added getopt_long from GNU project.
151 Fixed broken compile under GTK1 for rtlbrowse makefile.
152 Now can recoalesce bitblasted vectors in rtlbrowse.
153 Updated finalize in vcd recoder to add fake 'x' vch for
154 non-aliased facilities. Normally all facs are initialized,
155 but noticed that libvcddump doesn't do this.
156 3.0.16 13nov06 Patches to remove some compile warnings under Cygwin.
157 Changed maxdata linker flag for AIX to allow 3.25GB of
158 data segment area for AIX5.3.
159 Changed unsigned integer splay comparisons in vzt_write.c
160 for a slight speedup.
161 Updated configure patch scripts to patch over a "LEX = :"
162 glitch for AIX5.3 and also to use gcc in AIX for the pccts
163 compile because of xlc incompatibilities with it.
164 Fixed bug in draw_hptr_trace_vector_analog() that would
165 cause crashes on pure real vectors when zoomed out fully.
166 Added dynamic tooltips on current marker values for both
167 the left and middle mouse buttons (not tested for Win32
168 yet so disabled there).
169 Added preliminary support for changing menu accelerators
170 through the rc file with an "accel" statement.
171 3.0.17 27nov06 Fix in vcd recoder for SystemC which doesn't emit time
172 zero in the initial dumpvars. (would be needed anyway for
173 dumpers that for some reason don't as the 1st value
174 change collapses into the second)
175 3.0.18 28nov06 Fix in vcd saver for when units are in seconds: keeps
176 timescale of "ss" being used instead of just "s".
177 Locale problem in printing of floating point numbers fixed
178 by setting up a local fixer routine in config.h for
179 availability across all executables.
180 Fix in all vcd loaders to handle "port" (along with other
181 keywords) that appear in non-keyword parts of the $VAR
182 declaration sequence.
183 Fixed long standing LXT bug with integer datatype on
184 trivial LXT files. (Overflow in lt_buf decoder buffer.)
185 3.0.19 21dec06 More locale fixing with LC_ALL.
186 Added user patches from NIIBE Yutaka to remove requirement
187 for the GTK_ENABLE_BROKEN flag in GTK2 compilation.
188 3.0.20 21jan07 Fixed string/real handling in VCD recoder as it did not
189 properly add the right-hand side endcaps which would
190 crash pattern search.
191 Made vcd recoder more robust to be able to handle 'b'
192 value changes for string data as well as wires with
193 's' type data (for FlashSim).
194 Updated strace.c to allow forward/backward on strings and
195 reals.
196 3.0.21 02feb07 Added support for in/out/inout evcd ports generated by
197 dumpports in ModelSim.
198 Fixed problem with hierarchy being out of order as
199 treegraft needed to be followed by treesort. This mostly
200 impacted recursive hierarchy imports by only allowing
201 two signals to import rather than all of them. Also there
202 was an inconsistency with the signal ordering with VCD vs
203 the database formats because of this.
204 3.0.22 19feb07 Bumped up hash size from 65519 to 500009 entries for VCD
205 parsers.
206 Bumped up max VCDID fastindex size to 8M entries.
207 Now use gperf for verilog datatypes for some speedup in
208 gtkwave vcd loaders.
209 create_sorted_table() changed to deallocate long names in
210 vcd converters as the names are no longer needed once the
211 writer has them.
212 Fixed GTK1 makefile in src/ as twinwave had pkg-config
213 for GTK2.
214 Stray getopt.h include in v2l_analyzer_lxt2.h needed to
215 be #ifdef'ed with HAVE_GETOPT_H for Solaris.
216 XDR library -lnsl explicitly listed for Solaris.
217 PATH_MAX set if not defined in verilog.g for Vertex.
218 Regenerated configure for setenv()/unsetenv() presence
219 which impacts Solaris (use putenv() instead).
220 Regenerated configure to handle auto detect of -lnsl,
221 -lrpc, and -lpthread.
222 Added -c to file install in top-level makefile (Solaris).
223 Use _LARGEFILE_SOURCE value from configure with linux
224 rather than assuming it is always 1 with linux.
225 Fix to preproc.c in vertex as it was attempting to parse
226 directories. This works ok under linux with EOF but causes
227 problems under AIX.
228 3.0.23 19mar07 Added corresponding va_end() for all va_start().
229 Added optional frequency display between markers, also added
230 rc variable of use_frequency_display and menu options to
231 support this.
232 Placed mainbox for marker text label widgets inside an event
233 box as this seems to fix the centering problems with GTK2.
234 3.0.24 02apr07 Fixed makefile generation so 32bit AIX will use >256MB in
235 src/helpers.
236 Updated vzt2vcd and lxt2vcd so it handles the zero index of
237 bitblasted vectors (from ncsim).
238 Updated vzt reader so it can re-coalesce bitblasted vectors
239 provided the dumped bits are adjacent/in order.
240 Minor bugfixes to vztminer and lxt2miner with respect to
241 dumpon/dumpoff (don't emit $dumpon/$dumpoff strings as
242 that code was leftover from the vzt2vcd).
243 Updated manpages for vzt2vcd, lxt2vcd, and vztminer.
244 On concatenated vector loads ('#'/':' in savefile), attempts
245 to do a load of a monolithic vector on fail. This is
246 somewhat related to the re-coalesce vectors feature above.
247 Implemented reverse of this for monolithic vectors into
248 the '#' bitstrand variants.
249 3.0.25 10apr07 System Verilog with MTI fix for VCD declarations of form
250 $var reg 64 >w #implicit-var###VarElem:ram_di[0.0] [63:0] $end
251 ...debussy implicitly escapes the varname during loading so
252 gtkwave does it too now for all VCD loaders.
253 More System Verilog with MTI fixes: VCD (parameter) vars of
254 length zero are representative of reals with MTI: they don't
255 use real_parameter like Riviera does.
256 Updated vcd_saver.c to handle saving these implicit-var
257 facilities correctly. Likewise updated lxt-write.c,
258 lxt2_write.c, and vzt_write.c to handle correct bracket
259 stripping for the [0.0] semantics.
260 Modified dynamic resize routine so large escaped names don't
261 cause issues with the signal window crowding out the
262 wave window.
263 3.0.26 18apr07 Fixed various compiler warnings discovered from looking at
264 Fedora Core compile logs and later compiling against
265 Centos/RHEL5.
266 Commented out GTK_CAN_FOCUS for scollbars in wavewindow
267 as it was causing rendering problems with newer versions
268 of GTK.
269 3.0.27 27apr07 Renamed vertex to vermin to avoid name clashes with
270 existing 3D "vertex" package on debian systems.
271 Reverted back to using Open Office 2 Beta rather than
272 Open Office 2 as it was causing .odt docs corruption.
273 3.0.28 30apr07 Changed anonymous union in struct Node as it is a
274 gcc extension.
275 Fixed some vcd parser memory leaks found by Valgrind.
276 Moved errno check in vcd parser as it seems that
277 the GTK event loop called in splash_sync() calls it
278 on gnome 2.18 for some reason (reported by Gentoo
279 gtkwave package maintainer).
280 3.0.29 27may07 Added viewer support for arrays (currently AE2 only).
281 Integrated (standard) ./configure scheme thanks to
282 Dan McMahill
283 Added strdup_2 to debug.c for memory tracking (found
284 stray strdup() instances in ghw.c).
285 3.0.30 24jul07 Removed variable declaration in menu.h that tcc
286 complained about (should have been an extern).
287 Updated configure.ac to use AC_SYS_LARGEFILE for
288 > 2GB file compatibility with older linux systems.
289 (This was accidentally left out in 3.0.29.)
290 Added ignore_savefile_pos and ignore_savefile_size
291 rc variables. (Requested by Edward Ash.)
292 Added ability to specify trace background color in
293 filter processes by prefixing the return string with
294 ?color? as in "?CadetBlue?xor r0,r0,r0". The colors
295 used must already be specified in the rgb.c file.
296 Removed check for c++ compiler presence in the
297 autoconf.
298 Fixed LIBBZ_CFLAGS in .am files that really should
299 be LIBBZ2_CFLAGS.
300 3.1.0 25aug07 Moved to a global context variable management scheme
301 for future code expansion. Reload waveform function
302 added which uses context management. Update user
303 manual to include references to reload capability.
304 3.1.1 20sep07 Fixed crash in hierarchy search reload. (Wrong
305 pointer type introduced from code cleanup.) Adding
306 start of tabbed browsing support. Put in window
307 select focus switching between tabs (e.g., on
308 search windows). Added locking in main iteration
309 loop to detect unexpected context switches and
310 fix/report them (wrong operation if it occurs).
311 3.1.2 24dec07 Compiler warning cleanups from Sun compile logs.
312 Added named parameter support to vermin parser.
313 Added check for gperf back into configure.ac.
314 Added vlist_spill rc variable to control new
315 feature of spilling vlists to a tempfile on disk.
316 Fixed vcd loader status bar on files > 2GB.
317 Removed non-growable vlists and also finalize
318 aliases in order to be compatible with spill.
319 Changed vlist allocation scheme to allocate only
320 half as much per-block, then the rest when the
321 half-way point is reached. This reduces memory
322 wastage to an average of 12.5% rather than 25%
323 on uncompressed blocks.
324 Added code to pre-process data in vlists through
325 an LZ-based compressor. The can cut down on
326 memory usage ever further. This can be enabled
327 with the vlist_prepack rc variable.
328 Added --giga option to turn on vlist_spill and
329 vlist_prepack from the command line.
330 3.1.3 13jan08 Added dynamic keypress detection in the Pattern Search
331 Requester so users do not need to press enter for search
332 strings. Likewise, added the rc variable sst_dynamic_filter
333 to enable the same type behavior for the signal name filter in
334 the GTK2 signal search tree.
335 Fixed bug where filtered signal names did not reappear on
336 reload.
337 Updated user manual as necessary.
338 Added "edge" left/right buttons for handy single signal edge
339 detection due to user requests.
340 Fixed long-standing backward edge seek bug in pattern search.
341 (It would miss the preceeding edge if the marker isn't already
342 on one.)
343 Added use_standard_clicking rc variable in order to enable
344 "normal GTK" shift/click semantics in the signal window.
345 Collapse/uncollapse is now shift-ctrl when
346 use_standard_clicking is active.
347 Added prelim dnd for use_standard_clicking mode.
348 use_standard_clicking disabled in GTK-1.2 as there are dnd
349 issues.
350 Recalculate signal width on reload as sometimes it was missed.
351 Added input focus capability to signalwindow.
352 Moving menu options to standard GTK accelerator keys.
353 Added Ctrl-A/Shift-Ctrl-A handler to the treeview so it acts
354 like the signal window.
355 Added left/right scrolling hotkeys in signal window.
356 Added use_toolbutton_interface environment variable which
357 enables new user interface at the top of screen.
358 More modifications to handle globals swapping in multi-tab
359 mode.
360 Update configure.ac to handle library order problem with
361 cygwin in rtlbrowse.
362 Added scrollwheel support in signal window when focused and
363 standard clicking is active.
364 Added "Use Color" and "Use Black and White" (for screendumps)
365 View menu options from user requests.
366 Fixed help window so it is not editable. Also do an implicit
367 click-to-front for window managers that automatically move
368 windows to front when their insides (not decorations) are
369 clicked on.
370 3.1.4 30jan08 Added fix for how dnd gets killed after reload on new
371 versions of GTK (e.g., 2.10.14).
372 Added dirty_kick in MaxSignalLength that forces usize if
373 width is dirty.
374 For interpolated analog traces, fixed interpolation at
375 end of line so it doesn't cause endpoint to go offscreen.
376 Experimenting with track-and-hold fixing.
377 Integrated spice3f5 poly interpolation routines, but are
378 currently unused.
379 Now allow both interpolated+step simultaneously for analog.
380 Integrating signal window popup menu code.
381 Fixed rendering bugs in coalesced vectors displayed as analog.
382 Added resizing options that are windowed to either the screen
383 or across all trace data.
384 Added enter=OK as a default file chooser response.
385 Added DND and standard clicking to GTK1 compiles.
386 3.1.5 14feb08 Fixed bug in rtlbrowse that causes lxt2 dumps not to be value
387 annotated. (signal match worked for bitblasted nets only)
388 Updated rtlbrowse so that it can update dynamically with the
389 marker position.
390 Added anti-aliased font rendering code.
391 Added use_pango_fonts rc variable.
392 Updated edge buttons so they can handle multiply selected
393 signals.
394 Logfile(s) now update on waveform reload.
395 MinGW compile fixes. MinGW crash on reload fixed.
396 3.1.6 27feb08 Added additional #ifdefs that disable Pango on GTK versions
397 less than 2.8.0.
398 Added support for optional sideband .aetinfo files when
399 using .aet files. Update interface to rtlbrowse to
400 translate time value back to original aet ones.
401 Improved x vs X (z vs Z, etc) handling at signal boundaries.
402 Changed color scheme where red marks X data similar to how
403 it marks U for VHDL.
404 Fixed longstanding bug in linear lxts that only occurs if
405 integers are present in the lxt dump (length is failed to
406 be promoted to 32 during re-chaining).
407 Fixed shift-clicking when use_standard_clicking is active
408 such that shift-clicks past the last trace when the
409 signalwindow isn't fully populated count as a shift-click
410 on the last trace.
411 3.1.7 23mar08 Updated file.c to remove potential file chooser crash in
412 the case of a missing save file at the command line followed
413 by "write save file as".
414 Fixed problem in black and white mode where process filter
415 could possible cause colors to be displayed when ?color?
416 value escaping is used.
417 Fixed problem where primary marker was listed as 0 sec on
418 init instead of -- if not set initially from a save file.
419 3.1.8 06apr08 Added Range Fill option in the data format menu so
420 that vectors like address[31:2] will display as a human
421 expects to read them. Fill in can be zeros or ones.
422 Added trace flags display at right hand side of signal name
423 in mouseover popup window.
424 Added more visual feedback in signal D&D window in order
425 for users to determine more easily where a drop will insert.
426 Reduced visual noise on D&D by not updating screen after a
427 cut if a paste also occurs.
428 Fixed problem where primary marker was filtered through
429 time_trunc() on initialization. Removed other time_trunc()
430 calls causing similar problems as necessary.
431 3.1.9 20apr08 Added missing init_filetrans_data() to reload function.
432 Updated manfiles to get them in line with Debian lintian.
433 3.1.10 14may08 Added missing adjustment of t->shift in mouseover.c in
434 order to allow the mouseover on shifted traces to display
435 properly.
436 Fixed problem with edgebutton going back two edges on a
437 combined vector. (Cut and paste typo from strace.c.)
438 Added support for DND from regex search window to the
439 signal/waveareas.
440 3.1.11 18jun08 Compile fix for tla2vcd in MinGW.
441 Added #ifdef for HAVE_BZERO for MinGW.
442 Compiler warning fixes.
443 Added time = -1 endcaps in LXT2+VZT+AET loaders.
444 3.1.12 14jul08 Compiler warning fixes.
445 Fixed crash in vcd recoder for b vs 01xz mixups in
446 malformed VCD files.
447 Fixed abort on VCD load for malformed size vs
448 [msi:lsi] syntax. NC does this on arrays of wires.
449 Fix to vlist reader with --giga enabled in order to
450 handle reads which go off the end of the file.
451 (Possible due to how only the amount used in a block
452 is actually written to the file in order to save
453 space, so the vlist blocks can be overlapping yet
454 usable.)
455 3.1.13 20aug08 Adding compressed hierarchy handling in order to
456 reduce memory usage on large bitblasted models.
457 This is currently only enabled for the VCD recoder,
458 LXT, LXT2, and VZT loaders.
459 Fixed some buffer overflows in vectorization code
460 (and when vectorization is enabled) in vzt_read.c.
461 Modification to autoconf handling for rpc.h.
462 Fix for DnD to directly under an expanded comment
463 trace.
464 Make step_increment equal to 1/10 page_increment
465 for the horizontal scroller in the wavewindow.
466 Added sticky click semantics for clicks in signal
467 window. To do this in the treesearch_gtk2 file
468 will require some additional future work with
469 view_selection_func() and/or signal handling and
470 trapping.
471 3.2.0 16feb09 Fix for strings ('s' type) in recoder.
472 Added timestart command to savefiles which indicates
473 what the leftmost position should be on reload.
474 Added support for as/zs small timescales as well as
475 0.1/0.01/0.001 multipliers which simvision can emit.
476 Adding signal name DnD support from external apps.
477 Force open tree nodes on initial .sav file read.
478 Yet more new warning (-Wall) cleanups.
479 Fixed ExtractNodeSingleBit for vectors which do not
480 have a zero in either the msb or lsb (e.g., [1:9]).
481 Added support for dragging files into the viewer
482 (i.e., dumpfile, savefile, stems file)
483 Added DnD of signal names from RTLBrowse source code
484 windows directly into gtkwave.
485 GTK1 compiler compatibility fixes.
486 Improved search performance from rtlbrowse initiated
487 DnD searches.
488 Fixed wave_locale.h for MinGW.
489 Fixed longstanding bug with blackout regions
490 rendering.
491 Integrating embedded Tcl interpreter.
492 Fixed bug with unformat time when base time is in
493 seconds.
494 Added tcl example in examples/ directory.
495 Updated -f argument file handling in vermin.
496 Updated tcl_helper code so that signalwindow drags of bit-
497 blasted vectors are properly re-coalesced for client code
498 for the drag.
499 Updated task definition in vermin so that identifiers with
500 dots in them can be used as task enable names.
501 Fixed problem in vermin preprocessor where defines in 0x0d0a
502 terminated lines would insert the carriage return into the
503 sourcecode.
504 Added synthesis pragmas which mirror synopsys ones to vermin.
505 Used gtk_window_set_default_size instead of gtk_widget_set_usize
506 in rtlbrowse in order to allow window to shrink appropriately.
507 Made size_tag values smaller in logfile.c in gtkwave/rtlbrowse.
508 Allow dragging from rtlbrowse window without needing to high-
509 light signals first. This allows single signal drags.
510 GCC-4.1.3 with -O3 flag fix for x86_64 on xchgb instruction
511 assembler intrinsic.
512 Use gtk_window_set_transient_for() on simplereq windows.
513 Starting to update environment for rtlbrowse into a single
514 integrated window.
515 Adjust xthickness/ythickness in toolbars to make images
516 take up less space.
517 Preliminary support for text searching in rtlbrowse.
518 Added rtlbrowse case (in)sensitive searching both directions.
519 Fix re-entrancy on file names for file.c file requester in
520 gtkwave.
521 Add --with-tcl to configure script via tcl.m4 macro.
522 Cut down on visual noise during reload.
523 Added fix using TCL_INCLUDE_SPEC to get to compile under
524 Ubuntu.
525 Fixed lost num_cpus variable that wasn't passing through reload.
526 Added Cut/Copy/Paste functionality that allows multiple pastes
527 rather than destroying the cut buffer on paste. Cut buffer
528 contents will survive after a reload operation.
529 Removed translate filter process from mingw32 menu as it
530 is disabled in the compile by #ifdef'ing in empty functions.
531 Updated shmidcat so it restarts properly when VCD is being
532 looked at while sim is running and gtkwave gets ahead of
533 the generated VCD.
534 Fix crash on invalid node for force tree open during load.
535 Added Partial VCD Dynamic Zoom and related zoom_dynamic
536 rc variables.
537 Added prelim support for export to TimingAnalyzer file format.
538 Added mouseover support in signal window which shows full
539 facility name.
540 Added dynamic update on strings for marker values which keeps
541 user from having to press enter explicitly for each one.
542 Now allow support for named markers using optional user names
543 rather than just 'A'-'Z' labels.
544 Added countdown timer to remove dnd cursor if it is onscreen
545 longer than 5 seconds.
546 Added support for setting optional user names for named markers
547 via Tcl scripts.
548 Added prelim auto-scrolling code for trace adds/copies.
549 Added support for Tcl repscripts.
550 Removed warnings found when compiling with -Wshadow.
551 Fix for stack crash in treesort() on dumpfiles with an
552 extremely large number of signals (e.g., 5 million).
553 Compile fixes for rtlbrowse in older versions of GTK2.
554 Remove stray tempfiles created by --giga writer under MinGW.
555 Removed stray file descriptor from lxt on reload.
556 Fixed repeat error problem in lxt.c introduced by -Wshadow fix.
557 Added prelim version of scale_to_time_dimension rc variable and
558 appropriate menu options.
559 Beginning to update user manual to reflect new 3.2 features.
560 Added Partial VCD Dynamic Zoom To End and related
561 zoom_dynamic_end rc variables.
562 More mingw compile fixes: the whole tarball compiles now.
563 Use old file chooser (for now) in mingw as the new one seems
564 not to be re-sizeable.
565 Added "copy traces" to toolbar in gtkwave as copy function now
566 exists.
567 Added check for "server" in env var CYGWIN before printing
568 warning about using shared memory.
569 Added vpi client lxt/lxt2/vzt writers in contrib/vpi, but these
570 currently are not built.
571 Compatibility fix for gcc 3.x.
572 Added extload capability which grabs data via popen(). This
573 allows adding loaders for unsupported formats via data mining.
574 Added Tcl command setBaselineMarker.
575 Extload hardening on reload.
576 Added extload filetype to DnD.
577 Fixed window close when tabs active so it would update the
578 titlebar, times, etc., to the current tab.
579 3.2.1 09apr09 Add more information for users if gtk can't initialize on MacOS.
580 Added possibility for vlist.c to write out the spill file in a
581 machine independent fashion.
582 Added --fastload option to gtkwave.
583 Fixed autoconf so Tcl works in cygwin.
584 Updated cygwin "Bad system call" warning text to include more
585 possible fixes.
586 Fixed warning message in strace.c ("Named Marker xx not in use")
587 which spanned multiple lines because of multiple status_text()
588 calls.
589 Fixed STATUS_ACCESS_VIOLATION in cygwin for both vztminer and
590 lxt2miner and added the --comprehensive option in each. Also
591 added behavior that no search string specified matches all
592 value changes across all nets.
593 Updated documentation description for collapsible groups to
594 indicate that shift-control is required, not control
595 (as previously).
596 Adjusted brightnesses for mdgray and dkgray so collapsed
597 traces are visible on some monitors.
598 Fixed dkgray->mdgray for "Time" background as brightnesses
599 have changes.
600 Made AN_NORMAL/AN_REVERSE const declarations also static in
601 order to avoid unnecessary stack pushing.
602 Fixing reloader crashes in vcd_build_symbols().
603 Adding experimental support for bringing up gtkwave without a
604 trace like "every other" GUI app. This is currently disabled
605 pending more testing.
606 Sort filename lists from DnD in order to allow both a
607 dumpfile and a savefile to be dragged into the viewer and
608 processed in a logical order (i.e., the dumpfile before
609 the save file.)
610 Added disable_empty_gui rc file variable.
611 Working with ergonomic features of empty gui handling.
612 Fixed & to && in if() comparison in lxt_write.c
613 Added support for PDF output via ps2pdf.
614 Added support in VZT file format for LZMA compression.
615 Fixed calling to install_keypress_handler() as it only needs
616 to be done once.
617 Add menu blackouts on empty gui.
618 3.2.2 02aug09 Changed some instances of exit() in main.c to vcd_exit() in
619 order to keep failed loads in tabs from killing the whole
620 session.
621 Update VCD ID generation in VCD writers to use XL-style
622 identifier sequencing.
623 Fix MinGW printf format strings for helper apps.
624 Ported shmidcat and partial VCD loader function to MinGW.
625 Added twinwave support in MinGW. This currently has some
626 problems with D&D as well as window decorations being
627 present due to various system incompatibilities.
628 Added rtlbrowse support in MinGW.
629 Fixed rtlbrowse on reload, now allows to respawn.
630 Fixed rtlbrowse in cygwin as kill() does not work the same
631 as posix kill.
632 Added clearing of t->minmax_valid in dataformat() in case
633 sign bit changes for analog vectors when TR_ANALOG_FULLSCALE
634 is in use. This allows dynamically changing from/to "signed
635 decimal" and other modes and removes any y-scale artifacts
636 from having stale minmax data.
637 For rtlbrowse kill, step through all contexts in atexit()
638 handler. More exit fixes for rtlbrowse killing.
639 Warning fixes for printf format strings in lxt2/vzt/ghw.
640 Updated VCD parser to handle names like "a[1] [3:0]".
641 Added VCDNAM_ESCAPE cases in lxt, lxt2, and vzt loaders.
642 Updated VCD writers so they put spaces before bracketed
643 signal ranges.
644 Added extra message for help requester if file type is
645 MISSING_FILE in order to direct users what to do.
646 Fixed segfault caused by improper search/replace and
647 malloc length in renderopt.c for ps2pdf.
648 Beginning to integrate FST file format support.
649 Optimize rtlbrowse somewhat by not redoing fac finding
650 every time the cursor moves.
651 Beginning to integrate FST into rtlbrowse.
652 Added vcd2fst and fst2vcd helper utils.
653 Added option to FST to allow monolithic post-compress for
654 much smaller file sizes.
655 Documentation updates.
656 Added capability in GHW reader for negative indices on
657 bitstrands.
658 Added variable type support to VCD + impulse arrows for
659 depicting events on VCD (other formats will migrate in the
660 future).
661 Added vartype info (if avail in trace, now FST+VCD).
662 Added module type info (if avail, now FST+VCD+GHW only) by
663 decorating the hierarchy tree info appropriately.
664 Changed build_tree_from_name() to perform move to front for
665 hier names in order to work better with decorated trees.
666 Add EVCD support to vcd2fst, fst2vcd, and FST loader.
667 Fix longstanding bug in vcd parsers where evcd did not
668 parse correctly if standard VCD IDs were used.
669 Fixed "f" value in EVCD files so it converts to z, not x.
670 Convert capitalization usage on hex values to match that
671 of verilog. (i.e., x = all bits are x, X means some but
672 not all bits are x.)
673 Change magic number 3 to AN_1 in vtype2(). This was skipped
674 by an earlier sourcecode conversion.
675 Change file requester handling so old names are copied
676 to the new tab and the directory used on an unspecified
677 entry is derived from the loaded file name directory.
678 Added type information to mouseover in signal window.
679 Added --enable-fatlines ./configure flag which enables gtkwave
680 to render lines in "Fisher Price" (aka Simvision) double-
681 width style.
682 Added evcd2vcd to the distribution.
683 3.2.3 03sep09 Set iconify icon for gtkwave with gtk_window_set_icon().
684 Ensure -d flag survives across reloads/new tab.
685 Added LIBZ_CFLAGS to helpers/fst AM_CFLAGS for MinGW.
686 Added gtk_window_set_resizable for pWindowMain in file.c
687 as some distros need this.
688 Changed some strace.c globals to "signed char" to remove
689 warnings under AIX compiles.
690 AIX ./configure fixes.
691 Added malform_eof_fix() to recoder and regular VCD loader.
692 Fix to attempt_vecmatch_2 when no suffix encountered.
693 3.3.0 25dec09 Modified unformat_time() so it can also handle floating-
694 point exponential format.
695 Integration of a large amount of group handling sourcecode
696 from Don Baltus / Bluespec, Inc.
697 Re-integrated original Simpod repscript handling.
698 Reworked force open tree node and moved to
699 tcl_support_commands.c.
700 Renamed liblzma to libgwlzma for now: liblzma is present
701 on some systems and causing conflicts.
702 Fix for tk library issue on Cygwin. (Use TK_BUILD_LIB_SPEC
703 if TK_LIB_SPEC is a null string.)
704 Added --enable-stubify ./configure option to make Tcl/Tk
705 library usage be completely dynamic.
706 Generate anonymous name for groups to prevent crashes when
707 group name is unspecified.
708 Added EnsureGroupsMatch() on various parsewavline() code
709 sections to enforce legitimate group formations.
710 Applied gtk+-1.2 compile fixes as compile was getting out
711 of sync as it hadn't been tested recently.
712 Allow more events in GuiDoEvent() to prevent hangs.
713 Made --wish and --vcd mutually exclusive as they both require
714 input on stdin.
715 Enabled gc caching on linux to help with rendering extremely
716 dense traces.
717 Removed memcpy() ops in baseconvert.c and changed them into
718 pointer copies as the copied string is never modified.
719 Resequenced enum WV_MenuItems in menu.h which lost ordering
720 from Bluespec menu item adds.
721 Added gray code conversion ops.
722 Added FST detection to gtkwave::getDumpType.
723 Added missing context change variables in context_swapper().
724 Added gtkwave::setTabActive and gtkwave::getNumTabs
725 MinGW tcl compile fixes.
726 Cygwin fix for optimized vcd -o option.
727 Disabled reload on optimized vcd from stdin.
728 Removed --wish when building under MinGW and when specifying
729 --enable-stubify for configure (for now, the fail is with
730 TkMainEx).
731 Removed tempfile generation for Tcl script execution; now
732 use alloca based scheme. Also removed old-style "script"
733 file support as it is obsolete: all scripts are Tcl scripts
734 now.
735 Integrated user-provided rework of rgb.c.
736 Removed local lzma library and now use system xz if
737 available. Old VZT files using -z 2 are no longer readable
738 but can be converted using vzt2vcd from an old version of
739 gtkwave.
740 Various warnings fixes.
741 3.3.1 03jan10 Fixed Makefile.am files to allow builds into different
742 directories than the current one.
743 GHW crash fixes.
744 In fstapi.c now use tmpfile() to generate tempfiles in
745 order to speed up operation on networked filesystems.
746 Fixed problem in fstapi.c with conflict between off_t
747 and unsigned longs on some 32-bit systems which cause a
748 "tsec uncompress" failure on reads.
749 Fixed missing dependencies in various Makefile.am files.
750 3.3.2 05jan10 Emergency fix for ghw.c as it was missing a close comment
751 causing problems with iterative generate.
752 3.3.3 18feb10 Fix for Pattern Search where end marker time was not used
753 for dropping down a marker: for clock counts this would
754 mean that the clock count was off by one.
755 Added locking/unlocking of named markers against the
756 primary marker with 1/2/0 keys.
757 Fixed broken "make distclean".
758 Added patch for move to time against named markers.
759 Added a secondary pattern search function.
760 Added sanity checking on WAVE_NUM_STRACE_WINDOWS.
761 Added fix for broken bsearch_facs() when characters like
762 "$" are in a facname and it matches a hierarchy boundary.
763 Removed tla2vcd from distribution because of incompatibility
764 with some TLA700 traces.
765 Removed obsolete helper executables.
766 Activated preliminary line clipping for analog rendering as
767 line clipping in GTK does not always work for extreme
768 value ranges.
769 Hang in gtkwave on backtracking time fix (usually caused by
770 truncated files).
771 Replaced Tcl_GetStringResult() in tcl_np.c with Tcl_GetVar()
772 on Tk init fail.
773 Added AC_CHECK_LIB([dl], [dlopen]) (Fedora requirement).
774 Added --disable-xz (requested by Gentoo).
775 Added extern "C" { } bookends to headers for C++.
776 3.3.4 07mar10 Change slope calculation "m" in clipping.c to all doubles
777 in order to avoid integer overflow errors.
778 Change main resync loop name from gtkwave_gtk_main_iteration
779 to gtkwave_main_iteration. (Start of separation of GUI code
780 from functional code.)
781 More fixes to analog clipping: yt0/yt1 were reversed, also
782 added analog_redraw_skip_count env var.
783 Added support for "realtime" VCD variable.
784 GTK1 compile compatibility fixes for pattern trace.
785 Removed "Reduce Single Bit Vectors" menu option.
786 Added defensive re-link of t_prev on prepend and cut ops.
787 Fix for analog stretch traces when analog trace was
788 expanded and then collapsed.
789 Fix to LZMA_write_compress to detect xz compression failures.
790 3.3.5 19mar10 Fix for usage of deallocated next pointer in the
791 force_open_tree_nodes loop (spotted using an alternate
792 allocator).
793 Added optional preliminary Judy array support.
794 Fixed compiler warnings.
795 Fixed toggle max hier so it toggles back and forth between
796 the most previously set hierarchy depth.
797 Added ".lxt2" to list of suffixes allowed by gtkwave.
798 Remove name field from struct fac.
799 Added missing hierarchy boundary sort for FST in order to
800 allow compatibility with compressed names (-C flag).
801 Removed resolve_lxt_alias_to field from struct fac.
802 Removed lxt-only lastchange field from struct fac.
803 Removed unused h field in struct symbol.
804 Removed nextinaet field if unused, recoded to symchain when
805 used.
806 Fixed && used in logical operation for generating ExtNode.
807 Removed ExtNode, made inline with Node.
808 Deallocate symbol hash table after no longer needed.
809 Only allocate hash when necessary.
810 Added marker vs maxtime marker conflict check in
811 kick_partial_vcd() to ensure signal window values reflect data
812 value rather than x when maxtime scrolls over the marker time
813 and makes the marker visible.
814 More Judy array adds for VCD.
815 Removed sym->selected member and replaced with 1-bit Judy array
816 if enabled.
817 3.3.6 01may10 Added RealToBits menu options for displaying real numbers as
818 binary values.
819 Added missing break statements to terminate cases in
820 bits2vector().
821 Fixed cut and paste error on FILE_FILTER_MAX versus
822 PROC_FILTER_MAX.
823 Reduced FILE_FILTER_MAX from 1024 down to 128.
824 Added preliminary transaction filter support.
825 Added transaction parser in examples/ directory.
826 Updated time warp handling.
827 Updated print routine to use populateBuffer().
828 Added raise to front when filename selected in filter dialogs
829 as this helps with some window managers.
830 Remove color for translated/transaction traces in black and
831 white mode.
832 Copy gc_grid_wavewindow_c_1 from gccache on reload as this was
833 accidentally overwritten with gc_grid2_wavewindow_c_1 without
834 adding back gc_grid_wavewindow_c_1.
835 Added fstWriterSetTimescaleFromString() to fstapi.c which
836 allows usage of strings such as "1ns" for the timescale.
837 Incorporated FST writer optimizations.
838 Incorporated some fixes suggested by cppcheck.
839 3.3.7 03jun10 Made enable_fast_exit rc variable default to yes.
840 Compiler warning fix in lxt_write.c/fstapi.c for Open Solaris.
841 Added fstWriterGetDumpSizeLimitReached() to fstapi.c.
842 Fixes to Tcl string handling.
843 Applied user-supplied fixes for null pointer crashes in
844 rtlbrowse.
845 Moved gtk_grab_add() after gtk_widget_show() in order to work
846 with newer versions of GTK.
847 Use PRId64/PRId32 in lxt2_read.h and vzt_read.h to remove
848 printf format warnings.
849 Fixed "format not a string literal and no format arguments"
850 warnings.
851 Added missing HAVE_INTTYPES_H in compile note for
852 transaction.c.
853 Disable autocoalesce if Icarus Verilog is detected.
854 Added units forward scan in logfile.c.
855 3.3.8 25jun10 Added failure check on tempfile create in fstReaderInit().
856 Added strace_repeat_count and appropriate menu option.
857 Removed the "/File/Quit/Don't Quit" menu item if fast exit is
858 enabled.
859 Added dnd of signals from gtkwave into rtlbrowse: now the
860 appropriate verilog code sections automatically are
861 imported.
862 More warnings cleanups.
863 3.3.9 06jul10 Changed accelerator for Quit to conform to Gnome standard
864 menus guidelines.
865 Update local libz and libbz2 to current versions.
866 Moved version string out to version.h to keep from having
867 the CVS data updating in currenttime.h.
868 Fix crash that can occur in RemoveTrace.
869 Header file cleanups.
870 Fixed actual result of crash in RemoveTrace: defensive
871 re-linking in PasteBuffer didn't always relink the back
872 pointers properly.
873 3.3.10 16jul10 Fix in vermin Makefile.am for parallel build failures involving
874 shred.c depending on tokens.h.
875 Added missing dependencies in various Makefile.am files.
876 Fix for free to non-malloc'd address problem in main.c and
877 menu.c due to context changing in Tcl scripts when
878 gtkwave::/File/Open_New_Tab is invoked.
879 Updated vcd2fst so it is compatible with VerilatedVcd writer.
880 Read hierarchy reconstruction hardening for fstapi.c.
881 Check return code for hierarchy generation in fst2vcd.c.
882 Updated example to reflect Quit name change.
883 Updated repscript_timer so it prints stack trace.
884 Use setvbuf (as with MinGW) for fstapi.c to fix for OS X.
885 3.3.11 17aug10 Added tcl functions gtkwave::installFileFilter,
886 gtkwave::installProcFilter, gtkwave::installTransFilter,
887 gtkwave::setCurrentTranslateFile,
888 gtkwave::setCurrentTranslateProc,
889 gtkwave::setCurrentTranslateTransProc, and
890 gtkwave::setCurrentTranslateEnums to give Tcl access to these
891 features.
892 Add write combining in fstWriterEmitValueChange to speed
893 up execution on Cygwin.
894 Nested `ifdef fix for Vermin.
895 Fix for free to non-malloc'd address problem in repscripts
896 due to context changing in Tcl scripts when reload occurs.
897 Added gtkwavetcl_setvar() for starting to build a framework
898 to support Tcl variable change callbacks. This can be used
899 to closely monitor how a user manipulates the gtkwave GUI.
900 Fix for 0 millisecond Tcl timer causing 100% CPU usage.
901 Added CVS versus ModelSim compatibility fixes for Bluespec
902 savefiles.
903 Fix for atoi_64 when value is zero followed by a legitimate
904 nonzero value after some garbage non-numerics.
905 3.3.12 29aug10 Compile fix for --disable-tcl or systems which do not have
906 Tcl installed.
907 Added support for process filters in MinGW.
908 Added support for transaction filters in MinGW.
909 Added support for Open New Window to MinGW.
910 3.3.13 23sep10 Reduce memory footprint of VectorEnt on 32-bit architecture
911 by struct reordering.
912 Added warnings for options that are non-functional for some
913 configurations. (They are not disabled in order to allow
914 compatibility across systems.)
915 Fixed dangling fnam malloc in fst.c.
916 Reduced temporary memory usage during file init for lxt2,
917 vzt, and fst files by using F_NAME_MODULUS wrap on f_name.
918 Sparse vs non-sparse array crash fix for ae2 loader.
919 Suppress decorated treebuild for fst when compressed facs
920 are being used: this was causing duplicate tree entries.
921 Fixed renderhash problems in print.c caused by disparity
922 in eqns used in wavewindow vs print.c (need realx, not
923 just x).
924 Upgrade local libbz2 to 1.0.6 for uncompress security fix.
925 Added experimental dynamic SST building code which speeds
926 up initialization time for trees with extremely large number
927 of scopes.
928 3.3.14 26oct10 Fixed force_open_tree_node() for dynamic SST trees when
929 unbuilt nodes are encountered during traversal.
930 Allow VCD files where start = end time.
931 Compiler warning fixes.
932 Added preliminary RPC mechanism to gtkwave.
933 Added --disable-inline-asm ./configure flag.
934 Added initial_signal_window_width rc var.
935 3.3.15 10nov10 Added check in fstapi.c for corner case where
936 fstWriterEmitSectionHeader could make a file unusable if.hier
937 is not present.
938 Added more checks in fstapi reader to prevent crashes on
939 malformed files.
940 Add config.h #include to the fstapi.c code.
941 Add detection in vcd2fst for Verilog XL-style VCD identifiers
942 to speed up reading VCD files from those simulators.
943 Speedup in fst writer by ensuring checkpoint is not written
944 to for every fstWriterEmitValueChange call.
945 The --optimize flag now uses fst instead of lxt2 as its
946 default file format use vcd2lxt2 directly if old behavior
947 (e.g., converting flat signal names to hierarchies) is
948 desired.
949 3.3.16 24nov10 Remove unused JError variables and replace with PJE0 macro.
950 Added experimental dynamic alias detection in fst writer if
951 Judy arrays are detected. (Judy not required for reading.)
952 Added Jenkins hash routine to enable dynamic alias detection
953 for when Judy not available.
954 3.3.17 28nov10 Added sanity check in dynamic alias reconstruct routine in
955 FST reader and also fixed bug where alias reconstruction in
956 current blocks doesn't overwrite previous, old block data.
957 3.3.18 24dec10 Added extra allocation in fstWriterEmitValueChange in case
958 users modify the FST_BREAK_ADD_SIZE to a very small value.
959 Fixed in lxt.c that --disable-inline-asm did not propagate
960 into its compile.
961 Fixed x86_64 assembler =q vs =Q problem in lxt.c.
962 Preliminary support for variable length records in FST files.
963 Added fstUtilityBinToEsc and fstUtilityEscToBin for
964 conversion of binary data to C-style strings.
965 Now allow escaped strings in VCD files to encode a richer
966 set of data for non-standard "s" VCD records.
967 To comply with fst2vcd, vcd readers now handle "string"
968 variable type keyword.
969 Scaled back multipler from 95 to 94 for VCD ID processing
970 as !..~ is a distance of 94.
971 Add detection for Verilog XL-style VCD identifiers in all
972 vcd loaders in gtkwave in order to aid in indexing.
973 Added --enable-struct-pack configure flag.
974 More warnings fixes.
975 Fix mif_draw_string so it does not emit escaped character
976 codes.
977 Added gtkwave_server to distro but it is not currently in
978 automake as it is not ready for use.
979 3.3.19 03feb11 Added more NULL pointer checking to vcd2fst to prevent
980 crashes on malformed files.
981 Rewrote support for compressed signal handling. Currently this
982 is for FST only.
983 Modified shmidcat to exit on EOF.
984 Added sys_fst.c VPI source for NC Verilog and XL.
985 Added component typename dumping into sys_fst.c so that NC can
986 dump component names.
987 Added component type names in gtk2 tree. Currently the FST
988 loader is the only one that will populate this field.
989 For 64-bit architectures, doubles are stored in HistEnt fields
990 directly to conserve on memory usage.
991 Fixed top/bottom pane resizing bug after reload in SST window.
992 Fixed crashes in hierarchy search widget for GHW where
993 standard, textio, std_logic_1164, etc. were selectable.
994 Fixed reload scroll position for bottom TreeView in SST window.
995 3.3.20 21feb11 Fixed uninitialized mat variable in compress_facility().
996 Added --slider-zoom option to gtkwave to enable experimental
997 horizontal slider zoom feature (GTK2).
998 Fix vcd2fst so it can handle 0 length VCD event variables in
999 their declarations (MTI).
1000 3.3.21 28apr11 Fixed crash in LXT2 reader on malformed files.
1001 Fixed reload crash when -o flag used on non-VCD files.
1002 3.3.22 03jun11 Optimize tree build so it can handle large amounts of
1003 component instantiations (netlists) without undue slowdown.
1004 Added gcc -Wformat and -Wformat-security related fixes.
1005 Update hier_decompress_flagged so it can also decompress into
1006 its own static buffer in order to speed up temporary usage
1007 cases.
1008 Fix FST reader iterator to work better with --begin flag.
1009 Fixed missing facname decompression for FST files on
1010 single trace import (backup case that should never happen).
1011 Added support for user-specified timescale ruler using the
1012 ruler_origin and ruler_step rc variables.
1013 Added "/View/Define Time Ruler Marks" menu option.
1014 Removed indirect file support as is unneeded for 64-bit.
1015 Removed obsolete CVS modification log comments.
1016 Handle vcd saver case of dot at end of signal name.
1017 3.3.23 01jul11 Fixed ItemFactory callbacks as their argument lists did
1018 not reflect the correct callback argument type/order
1019 for callback_type=1. This is a longstanding hidden
1020 bug. (Would prevent pattern search from working on
1021 64-bit big-endian architectures.)
1022 Fixed broken "replace" signal option.
1023 3.3.24 03aug11 Improve the searching for the TCL libraries (when using
1024 stubs).
1025 Fixed bug where Tcl_GetString was substituted with
1026 brace removal preprocessing when unnecessary (would break
1027 addSignalsFromList, etc.)
1028 3.3.25 15sep11 Replaced calloc_2 with histent_calloc in loaders where
1029 applicable.
1030 Updated tcl.m4 so /usr/lib64 can be automatically used.
1031 Fixed TR_ANALOG_STEP line clipping problem.
1032 Checked in fix for modelsim signal bit nets that are
1033 defined as [0] as some tools emit signals without the
1034 [0] and it causes savefile compatibility problems.
1035 Add visible filter pattern in fileselbox() as well as
1036 selectable "*" pattern overrides.
1037 Added custom filters to GtkFileChooser dialogue.
1038 Fix in lxt2_read.c/.h for negative msb/lsb indices.
1039 Fix in vzt_read.c/.h for negative msb/lsb indices.
1040 3.3.26 25sep11 Mac OSX fixes: removed restrictions for twinwave on OSX,
1041 OSX compile fixes for Tcl detection, printf warning
1042 fixes (xcode gcc uses stricter warnings).
1043 More generic warning fixes from recent feature adds.
1044 3.3.27 20oct11 Fixes of suspicious NULL pointer warnings from scan-build.
1045 Fixed inline function linker errors when using Clang.
1046 Optimization of more [1] cases found in analyzer.h when
1047 -DWAVE_USE_STRUCT_PACKING is active.
1048 In process_url_list() use g_malloc/g_free as context can
1049 or will change when files are loaded.
1050 Added fix for DnD crash when Quartz is the GDK back-end
1051 on Mac OSX. Enable fix with --enable-quartz in configure.
1052 Fixed fstWriterFlushContext() such that invocations
1053 outside the fstapi are synced with time changes.
1054 Modify main window size for twinwave on Quartz: GtkPlug
1055 window does not fit into GtkSocket as with X11.
1056 3.3.28 11nov11 Use larger more readable Apple fonts for Quartz.
1057 Added support for colorful traces using the
1058 /Edit/Color Format/... menu options.
1059 Fixed rendertimes bug where times did not always display
1060 when grid is turned off.
1061 Added keep_xz_colors gtkwaverc variable.
1062 3.3.29 31dec11 << short descriptions >>
1063 Added OSX integration when compiled against gtk-osx.
1064 Added mime types and icons for file types and desktop menus.
1065 Changed .sav (deprecated but not removed) to .gtkw, with
1066 .gtkw itself being able to bring up the original dumpfile.
1067 Numerous bug fixes.
1068 Preliminary GConf support supporting session ID-based restore.
1069 Preliminary GConf support to emulate OSX "open"
1070 functionality such that dumpfiles/savefiles can be targeted
1071 to an open gtkwave viewer / session ID.
1072 << long descriptions >>
1073 Fixed size of declaration of render_mutex_renderopt_c_1 as it
1074 was one element too short.
1075 Added transition code for shifting away from using
1076 GtkItemFactoryEntry (also will help with OSX menubar
1077 integration which expects menu shells).
1078 Added support for native Quartz menu bars.
1079 Removed --enable-quartz as it is auto detected now if
1080 PKG_CHECK_MODULES(GTK_MAC, gtk-mac-integration) is
1081 true and GDK is compiled against Quartz.
1082 Fixed pointer crashes on NULL returned on gtk_entry_get_text()
1083 on OSX/Quartz.
1084 Recommended usage for Quartz is now jhbuild with
1085 gtk-mac-integration, not MacPorts.
1086 Added mac bundle info in contrib/bundle_for_osx.
1087 Added gtkwave_argv0_cached as Open New Window does not work if
1088 "gtkwave" is not in path or is something like gtkwave-bin as
1089 in an OSX bundle.
1090 Detect context swapping in file chooser from DnD to prevent
1091 possible crashes.
1092 Block DnD while file chooser is active.
1093 Migrate OSX to OSX key accelerators.
1094 Fix for tcl code opening the root node.
1095 Fix time warp cmd->control mapping for OSX.
1096 Fix for time warped traces not rendering properly when
1097 x-coordinate overdraw is detected.
1098 Added WAVE_ALLOW_QUARTZ_FLUSH_WORKAROUND temporarily which
1099 needs to be set at compile time which gates redraw.
1100 Add GLOBALS->force_hide_show to force redraws in OSX.
1101 Added osx_timer() which controls forced redraw.
1102 Abort GHW read when nbr_el<0: indicates malformed file from
1103 variable/signal construct of form (7 to 0) rather than downto.
1104 Crash fix for non-string len zero facilities in vcd2fst.
1105 Fixed overflow in draw_hptr_trace_vector_analog().
1106 Added rtlbrowse and vcd2fst binary path finding code to OSX.
1107 Changed ps2pdf to pstopdf on Mac.
1108 Fixed broken wave_script_args.
1109 Reverted to 3.3.26 code in menu_func() as the renderbox
1110 requester did not work from TCL anymore.
1111 Catch NSApplicationOpenFile so files can be opened from Finder.
1112 Added --chdir command line option to support open for OSX so
1113 that gtkwave can be run at the command line directly from its
1114 installed gtkwave.app.
1115 Fix window resizing / repositioning to work in OSX: block once
1116 viewer is on second tab, however.
1117 Added sst_width, sst_vpaned_height, sst_expanded, and
1118 signals_width tags into save file to allow pane size and
1119 expander settings to be saved to the save file.
1120 Add ignore_savefile_pane_pos .gtkwaverc variable.
1121 Added dumpfile tag to save files. OSX Finder uses these to
1122 find the original dumpfile. This can be done from the command
1123 line also by specifying --save but not specifying a dump file.
1124 Added .gtkw as a new save file extension. When either .sav
1125 or .gtkw is encountered, the rest of a tab's session adaptively
1126 follows in expecting it as the save file suffix.
1127 Added [savefile] tag to save files. The intended use is to
1128 allow reconstruction of relative paths between dump and save
1129 file.
1130 Fixed --autosavename to use .gtkw as a suffix rather than the
1131 .sav suffix.
1132 Reworked wave_info and wave_alert icons. wave_info now
1133 matches gtkwave.icns.
1134 Renamed .sav examples to .gtkw.
1135 Added relative path comparisons for --save so when dumpfiles
1136 and savefiles move in tandem, a successful load can be
1137 attempted.
1138 Added [dumpfile_mtime] and [dumpfile_size] tags to save file.
1139 Can now specify just an augmented save file at the command
1140 line and gtkwave will load both the dump file and the save file:
1141 this makes launching from desktops easier on Linux.
1142 Added mime types, desktops, and icons in share/ for gnome.
1143 Added percentage progress during load for most file types
1144 in window title bar when splash screen not active.
1145 Preliminary add of interfacing with GConf via the
1146 /com.geda.gtkwave directory for keys in GConf.
1147 Memory overrun fix to symbol.c for Bluespec add from 3.3.11.
1148 Added --restore command line option to gtkwave.
1149 3.3.30 17jan12 Updated ./configure to add --disable-mime-update flag.
1150 Fix --optimize for --restore.
1151 Add [optimize_vcd] savefile tag.
1152 Disable analog during mutually incompatible mode selection
1153 (binary, filters, etc).
1154 Added F/P/T flags to mouseover for the filters.
1155 Fix problem where ungrab doesn't occur if button pressed +
1156 simultaneous reload accelerator key occurs.
1157 Fix combine direction in transaction filter to down.
1158 Fix vector analog render/print routine to use skipcnt.
1159 Fixed transaction filter to cache hptr node if converted
1160 (i.e., do not place bitblasted in save file if avoidable).
1161 Fixed min/max of cached autoscaling sizing when number of
1162 extension traces changes.
1163 3.3.31 30jan12 Added support for native file requesters in OSX Quartz.
1164 Added support for native alert dialogs in OSX Quartz.
1165 Clang warning fixes.
1166 Added missing config.guess and config.sub.
1167 Allow drag of .gtkw (when viewer still does not have a file
1168 loaded) to load the corresponding dump file.
1169 Fix MinGW compiles broken from recent changes.
1170 Documentation updates.
1171 Fixed broken ifdef in signalwindow.c that degated savefile
1172 loading .gtkw dump+save properly if not gconf2 or Mac.
1173 3.3.32 13feb12 Turn off loader messages when Tcl is executing a command.
1174 Added gtk_print_unix_dialog support for printing to
1175 real printers by using the "UNIX" type.
1176 Automatically kill splash screen on reload/new tab.
1177 Added transaction_args savefile tag and support for passing
1178 args to transaction filters via the args $comment.
1179 Added string value of \000 which renders as high-z.
1180 Integrated alt_wheel_mode code provided by Tom Browne.
1181 Fixes for some rc file variables to keep them from getting
1182 clobbered on 2nd tab opening.
1183 Warning fixes when compiled on Ubuntu.
1184 3.3.33 27feb12 Scan-build fix in vcd_recoder.c.
1185 Added $timezero tag to VCD files which allows offsetting all
1186 the values in a trace to provide ability for negative time
1187 values. Currently only VCD, LXT, LXT2, VZT, and FST support
1188 this.
1189 Fix for timescale 10s and 100s.
1190 3.3.34 12mar12 Fix for marker time deltas when $timezero is used.
1191 Reduced size of alert requester icons to 64x64 pixels.
1192 3.3.35 04apr12 Polarity fix for vcd_preserve_glitches in rcfile. Default
1193 is no/off. Use yes in the rcfile to enable (e.g., for
1194 viewing interpolated analog waveforms).
1195 Added vcd_preserve_glitches support to FST as --optimize
1196 uses FST.
1197 Added vcd_preserve_glitches_real (for VCD/FST) rcfile
1198 variable that turns off deglitching only for real signals.
1199 This removes the need for #define TRACK_AND_HOLD_FIX and
1200 prevents the case where interpolation of an analog waveform
1201 is deformed as significant data points were removed by the
1202 VCD or FST loader.
1203 Fix for do_initial_zoom_fit when file requester used.
1204 Changed contact address for bug reports.
1205 Enable mouseover for MinGW.
1206 Added fstWriterSetParallelMode().
1207 3.3.36 04may12 Fixed destructive string convert in fstUtilityBinToEsc().
1208 Added support for 01xzhuwl- in fst.c callback interface,
1209 vcd2fst.c, and lxt.c.
1210 Added adaptive buffer resizing in FST writer for Linux
1211 and Mac OSX.
1212 Fix for realpath() 2nd argument NULL on Leopard.
1213 Fix for doubles stored in HistEnt fields in ghw
1214 introduced in 3.3.19.
1215 3.3.37 10jun12 Added patch for savefile.c that corrects an issue in which the
1216 parser for process filter lines assumed the associated id
1217 number was always a single digit.
1218 Added patch to bitvec.c catches one more case when locating
1219 bitblasted signals in vcd files created by modelsim.
1220 Fix that kills stray pipeio_create() processes on
1221 pipeio_destroy().
1222 Additions to extload to handle hier types, component types,
1223 and signal types.
1224 Added support for extload files as input filetype in vcd2fst.
1225 Added -o for extload files to convert to FST.
1226 3.3.38 10jul12 Upgrading vermin parser to handle some > 1995 constructs.
1227 Propagate -o option into "Open New Window" menu option.
1228 Change invert function so it does not incorrectly expand into
1229 the whole nybble when it is < 4 bits. That is, inverting the
1230 two bit quantity 10 now displays as 0x1, not 0xD.
1231 Added fstminer.
1232 MinGW warnings fixes.
1233 Fixed relative pathnames for gtkw save files in MinGW.
1234 Fix fstapi reader so it does not leave stray hier tmpfiles
1235 around in MinGW if reader is never closed.
1236 Changed twinwave for MinGW so that it does not target two
1237 panes in a single window. Something is apparently now
1238 broken in the GtkSocket/GtkPlug implementation for Win32.
1239 3.3.39 08aug12 Fixed relative pathnames when generated in MinGW and used
1240 back on Linux.
1241 Added --output filename option to fst2vcd, vzt2vcd, and
1242 lxt2vcd.
1243 Fix crash on OSX if gtk_widget_set_sensitive is called on
1244 a separator.
1245 Fixed OSX version so it looks for .gtkwaverc in the home
1246 directory and if not found, probes the resource bundle for
1247 Contents/Resources/examples/gtkwaverc (no dot in the name).
1248 Added GTKWave User's Guide option to help menu on OSX.
1249 Added + vs ++ separators for twinwave.
1250 Dynamic resize fixes.
1251 3.3.40 10sep12 Fixed y-size of splash screen on MinGW with newest version
1252 of GTK2 (as it could be verified on that version).
1253 Fixed off-by-one buffer string allocation write overflow
1254 in calloc_2() call in maketraces().
1255 3.3.41 30sep12 Fix for gtkwave::addSignalsFromList when encountering
1256 signals of form a.b.MyBus[7:0] and a.b.MyBus[15:8] such
1257 that brackets aren't stripped.
1258 Added experimental highlight_wavewindow rc variable which
1259 allows signals also to be highlighted in the wave window
1260 using the value for color_grid.
1261 Added use_standard_trace_select rc variable and related
1262 menu option.
1263 3.3.42 28nov12 Fix to prevent missing group openings from keeping other
1264 signals in the viewer that follow from displaying.
1265 Adding more support for newer constructs in Vermin.
1266 Added scrollwheel support to rtlbrowse code windows.
1267 Added fseeko() return checking in fstapi.c to prevent
1268 errors with dynamically updated files.
1269 3.3.43 26jan13 Fix for rtlbrowse for gtk_adjustment_get_page_increment and
1270 gtk_adjustment_get_step_increment introduced in 2.14.
1271 Added VPD support via vpd2vcd. To use, specify -o
1272 at the command line. (e.g., gtkwave -o test.vpd)
1273 Added autodetect for LXT, LXT2, VZT, FST regardless of the
1274 filename suffix.
1275 Crash fix for gtkwave::getDisplayedSignals, specifically
1276 removing the extra free_2() in WAVE_OE_ME.
1277 Added conditional compile for stat() being available.
1278 3.3.44 16feb13 gdk_draw_layout assertion `GDK_IS_DRAWABLE (drawable)'
1279 assertion fix.
1280 3.3.45 28feb13 Fix for VCDNAM_ESCAPE character in treesearch window. This
1281 sometimes occurs for structure identifiers.
0 GNU GENERAL PUBLIC LICENSE
1 Version 3, 29 June 2007
2
3 Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/>
4 Everyone is permitted to copy and distribute verbatim copies
5 of this license document, but changing it is not allowed.
6
7 Preamble
8
9 The GNU General Public License is a free, copyleft license for
10 software and other kinds of works.
11
12 The licenses for most software and other practical works are designed
13 to take away your freedom to share and change the works. By contrast,
14 the GNU General Public License is intended to guarantee your freedom to
15 share and change all versions of a program--to make sure it remains free
16 software for all its users. We, the Free Software Foundation, use the
17 GNU General Public License for most of our software; it applies also to
18 any other work released this way by its authors. You can apply it to
19 your programs, too.
20
21 When we speak of free software, we are referring to freedom, not
22 price. Our General Public Licenses are designed to make sure that you
23 have the freedom to distribute copies of free software (and charge for
24 them if you wish), that you receive source code or can get it if you
25 want it, that you can change the software or use pieces of it in new
26 free programs, and that you know you can do these things.
27
28 To protect your rights, we need to prevent others from denying you
29 these rights or asking you to surrender the rights. Therefore, you have
30 certain responsibilities if you distribute copies of the software, or if
31 you modify it: responsibilities to respect the freedom of others.
32
33 For example, if you distribute copies of such a program, whether
34 gratis or for a fee, you must pass on to the recipients the same
35 freedoms that you received. You must make sure that they, too, receive
36 or can get the source code. And you must show them these terms so they
37 know their rights.
38
39 Developers that use the GNU GPL protect your rights with two steps:
40 (1) assert copyright on the software, and (2) offer you this License
41 giving you legal permission to copy, distribute and/or modify it.
42
43 For the developers' and authors' protection, the GPL clearly explains
44 that there is no warranty for this free software. For both users' and
45 authors' sake, the GPL requires that modified versions be marked as
46 changed, so that their problems will not be attributed erroneously to
47 authors of previous versions.
48
49 Some devices are designed to deny users access to install or run
50 modified versions of the software inside them, although the manufacturer
51 can do so. This is fundamentally incompatible with the aim of
52 protecting users' freedom to change the software. The systematic
53 pattern of such abuse occurs in the area of products for individuals to
54 use, which is precisely where it is most unacceptable. Therefore, we
55 have designed this version of the GPL to prohibit the practice for those
56 products. If such problems arise substantially in other domains, we
57 stand ready to extend this provision to those domains in future versions
58 of the GPL, as needed to protect the freedom of users.
59
60 Finally, every program is threatened constantly by software patents.
61 States should not allow patents to restrict development and use of
62 software on general-purpose computers, but in those that do, we wish to
63 avoid the special danger that patents applied to a free program could
64 make it effectively proprietary. To prevent this, the GPL assures that
65 patents cannot be used to render the program non-free.
66
67 The precise terms and conditions for copying, distribution and
68 modification follow.
69
70 TERMS AND CONDITIONS
71
72 0. Definitions.
73
74 "This License" refers to version 3 of the GNU General Public License.
75
76 "Copyright" also means copyright-like laws that apply to other kinds of
77 works, such as semiconductor masks.
78
79 "The Program" refers to any copyrightable work licensed under this
80 License. Each licensee is addressed as "you". "Licensees" and
81 "recipients" may be individuals or organizations.
82
83 To "modify" a work means to copy from or adapt all or part of the work
84 in a fashion requiring copyright permission, other than the making of an
85 exact copy. The resulting work is called a "modified version" of the
86 earlier work or a work "based on" the earlier work.
87
88 A "covered work" means either the unmodified Program or a work based
89 on the Program.
90
91 To "propagate" a work means to do anything with it that, without
92 permission, would make you directly or secondarily liable for
93 infringement under applicable copyright law, except executing it on a
94 computer or modifying a private copy. Propagation includes copying,
95 distribution (with or without modification), making available to the
96 public, and in some countries other activities as well.
97
98 To "convey" a work means any kind of propagation that enables other
99 parties to make or receive copies. Mere interaction with a user through
100 a computer network, with no transfer of a copy, is not conveying.
101
102 An interactive user interface displays "Appropriate Legal Notices"
103 to the extent that it includes a convenient and prominently visible
104 feature that (1) displays an appropriate copyright notice, and (2)
105 tells the user that there is no warranty for the work (except to the
106 extent that warranties are provided), that licensees may convey the
107 work under this License, and how to view a copy of this License. If
108 the interface presents a list of user commands or options, such as a
109 menu, a prominent item in the list meets this criterion.
110
111 1. Source Code.
112
113 The "source code" for a work means the preferred form of the work
114 for making modifications to it. "Object code" means any non-source
115 form of a work.
116
117 A "Standard Interface" means an interface that either is an official
118 standard defined by a recognized standards body, or, in the case of
119 interfaces specified for a particular programming language, one that
120 is widely used among developers working in that language.
121
122 The "System Libraries" of an executable work include anything, other
123 than the work as a whole, that (a) is included in the normal form of
124 packaging a Major Component, but which is not part of that Major
125 Component, and (b) serves only to enable use of the work with that
126 Major Component, or to implement a Standard Interface for which an
127 implementation is available to the public in source code form. A
128 "Major Component", in this context, means a major essential component
129 (kernel, window system, and so on) of the specific operating system
130 (if any) on which the executable work runs, or a compiler used to
131 produce the work, or an object code interpreter used to run it.
132
133 The "Corresponding Source" for a work in object code form means all
134 the source code needed to generate, install, and (for an executable
135 work) run the object code and to modify the work, including scripts to
136 control those activities. However, it does not include the work's
137 System Libraries, or general-purpose tools or generally available free
138 programs which are used unmodified in performing those activities but
139 which are not part of the work. For example, Corresponding Source
140 includes interface definition files associated with source files for
141 the work, and the source code for shared libraries and dynamically
142 linked subprograms that the work is specifically designed to require,
143 such as by intimate data communication or control flow between those
144 subprograms and other parts of the work.
145
146 The Corresponding Source need not include anything that users
147 can regenerate automatically from other parts of the Corresponding
148 Source.
149
150 The Corresponding Source for a work in source code form is that
151 same work.
152
153 2. Basic Permissions.
154
155 All rights granted under this License are granted for the term of
156 copyright on the Program, and are irrevocable provided the stated
157 conditions are met. This License explicitly affirms your unlimited
158 permission to run the unmodified Program. The output from running a
159 covered work is covered by this License only if the output, given its
160 content, constitutes a covered work. This License acknowledges your
161 rights of fair use or other equivalent, as provided by copyright law.
162
163 You may make, run and propagate covered works that you do not
164 convey, without conditions so long as your license otherwise remains
165 in force. You may convey covered works to others for the sole purpose
166 of having them make modifications exclusively for you, or provide you
167 with facilities for running those works, provided that you comply with
168 the terms of this License in conveying all material for which you do
169 not control copyright. Those thus making or running the covered works
170 for you must do so exclusively on your behalf, under your direction
171 and control, on terms that prohibit them from making any copies of
172 your copyrighted material outside their relationship with you.
173
174 Conveying under any other circumstances is permitted solely under
175 the conditions stated below. Sublicensing is not allowed; section 10
176 makes it unnecessary.
177
178 3. Protecting Users' Legal Rights From Anti-Circumvention Law.
179
180 No covered work shall be deemed part of an effective technological
181 measure under any applicable law fulfilling obligations under article
182 11 of the WIPO copyright treaty adopted on 20 December 1996, or
183 similar laws prohibiting or restricting circumvention of such
184 measures.
185
186 When you convey a covered work, you waive any legal power to forbid
187 circumvention of technological measures to the extent such circumvention
188 is effected by exercising rights under this License with respect to
189 the covered work, and you disclaim any intention to limit operation or
190 modification of the work as a means of enforcing, against the work's
191 users, your or third parties' legal rights to forbid circumvention of
192 technological measures.
193
194 4. Conveying Verbatim Copies.
195
196 You may convey verbatim copies of the Program's source code as you
197 receive it, in any medium, provided that you conspicuously and
198 appropriately publish on each copy an appropriate copyright notice;
199 keep intact all notices stating that this License and any
200 non-permissive terms added in accord with section 7 apply to the code;
201 keep intact all notices of the absence of any warranty; and give all
202 recipients a copy of this License along with the Program.
203
204 You may charge any price or no price for each copy that you convey,
205 and you may offer support or warranty protection for a fee.
206
207 5. Conveying Modified Source Versions.
208
209 You may convey a work based on the Program, or the modifications to
210 produce it from the Program, in the form of source code under the
211 terms of section 4, provided that you also meet all of these conditions:
212
213 a) The work must carry prominent notices stating that you modified
214 it, and giving a relevant date.
215
216 b) The work must carry prominent notices stating that it is
217 released under this License and any conditions added under section
218 7. This requirement modifies the requirement in section 4 to
219 "keep intact all notices".
220
221 c) You must license the entire work, as a whole, under this
222 License to anyone who comes into possession of a copy. This
223 License will therefore apply, along with any applicable section 7
224 additional terms, to the whole of the work, and all its parts,
225 regardless of how they are packaged. This License gives no
226 permission to license the work in any other way, but it does not
227 invalidate such permission if you have separately received it.
228
229 d) If the work has interactive user interfaces, each must display
230 Appropriate Legal Notices; however, if the Program has interactive
231 interfaces that do not display Appropriate Legal Notices, your
232 work need not make them do so.
233
234 A compilation of a covered work with other separate and independent
235 works, which are not by their nature extensions of the covered work,
236 and which are not combined with it such as to form a larger program,
237 in or on a volume of a storage or distribution medium, is called an
238 "aggregate" if the compilation and its resulting copyright are not
239 used to limit the access or legal rights of the compilation's users
240 beyond what the individual works permit. Inclusion of a covered work
241 in an aggregate does not cause this License to apply to the other
242 parts of the aggregate.
243
244 6. Conveying Non-Source Forms.
245
246 You may convey a covered work in object code form under the terms
247 of sections 4 and 5, provided that you also convey the
248 machine-readable Corresponding Source under the terms of this License,
249 in one of these ways:
250
251 a) Convey the object code in, or embodied in, a physical product
252 (including a physical distribution medium), accompanied by the
253 Corresponding Source fixed on a durable physical medium
254 customarily used for software interchange.
255
256 b) Convey the object code in, or embodied in, a physical product
257 (including a physical distribution medium), accompanied by a
258 written offer, valid for at least three years and valid for as
259 long as you offer spare parts or customer support for that product
260 model, to give anyone who possesses the object code either (1) a
261 copy of the Corresponding Source for all the software in the
262 product that is covered by this License, on a durable physical
263 medium customarily used for software interchange, for a price no
264 more than your reasonable cost of physically performing this
265 conveying of source, or (2) access to copy the
266 Corresponding Source from a network server at no charge.
267
268 c) Convey individual copies of the object code with a copy of the
269 written offer to provide the Corresponding Source. This
270 alternative is allowed only occasionally and noncommercially, and
271 only if you received the object code with such an offer, in accord
272 with subsection 6b.
273
274 d) Convey the object code by offering access from a designated
275 place (gratis or for a charge), and offer equivalent access to the
276 Corresponding Source in the same way through the same place at no
277 further charge. You need not require recipients to copy the
278 Corresponding Source along with the object code. If the place to
279 copy the object code is a network server, the Corresponding Source
280 may be on a different server (operated by you or a third party)
281 that supports equivalent copying facilities, provided you maintain
282 clear directions next to the object code saying where to find the
283 Corresponding Source. Regardless of what server hosts the
284 Corresponding Source, you remain obligated to ensure that it is
285 available for as long as needed to satisfy these requirements.
286
287 e) Convey the object code using peer-to-peer transmission, provided
288 you inform other peers where the object code and Corresponding
289 Source of the work are being offered to the general public at no
290 charge under subsection 6d.
291
292 A separable portion of the object code, whose source code is excluded
293 from the Corresponding Source as a System Library, need not be
294 included in conveying the object code work.
295
296 A "User Product" is either (1) a "consumer product", which means any
297 tangible personal property which is normally used for personal, family,
298 or household purposes, or (2) anything designed or sold for incorporation
299 into a dwelling. In determining whether a product is a consumer product,
300 doubtful cases shall be resolved in favor of coverage. For a particular
301 product received by a particular user, "normally used" refers to a
302 typical or common use of that class of product, regardless of the status
303 of the particular user or of the way in which the particular user
304 actually uses, or expects or is expected to use, the product. A product
305 is a consumer product regardless of whether the product has substantial
306 commercial, industrial or non-consumer uses, unless such uses represent
307 the only significant mode of use of the product.
308
309 "Installation Information" for a User Product means any methods,
310 procedures, authorization keys, or other information required to install
311 and execute modified versions of a covered work in that User Product from
312 a modified version of its Corresponding Source. The information must
313 suffice to ensure that the continued functioning of the modified object
314 code is in no case prevented or interfered with solely because
315 modification has been made.
316
317 If you convey an object code work under this section in, or with, or
318 specifically for use in, a User Product, and the conveying occurs as
319 part of a transaction in which the right of possession and use of the
320 User Product is transferred to the recipient in perpetuity or for a
321 fixed term (regardless of how the transaction is characterized), the
322 Corresponding Source conveyed under this section must be accompanied
323 by the Installation Information. But this requirement does not apply
324 if neither you nor any third party retains the ability to install
325 modified object code on the User Product (for example, the work has
326 been installed in ROM).
327
328 The requirement to provide Installation Information does not include a
329 requirement to continue to provide support service, warranty, or updates
330 for a work that has been modified or installed by the recipient, or for
331 the User Product in which it has been modified or installed. Access to a
332 network may be denied when the modification itself materially and
333 adversely affects the operation of the network or violates the rules and
334 protocols for communication across the network.
335
336 Corresponding Source conveyed, and Installation Information provided,
337 in accord with this section must be in a format that is publicly
338 documented (and with an implementation available to the public in
339 source code form), and must require no special password or key for
340 unpacking, reading or copying.
341
342 7. Additional Terms.
343
344 "Additional permissions" are terms that supplement the terms of this
345 License by making exceptions from one or more of its conditions.
346 Additional permissions that are applicable to the entire Program shall
347 be treated as though they were included in this License, to the extent
348 that they are valid under applicable law. If additional permissions
349 apply only to part of the Program, that part may be used separately
350 under those permissions, but the entire Program remains governed by
351 this License without regard to the additional permissions.
352
353 When you convey a copy of a covered work, you may at your option
354 remove any additional permissions from that copy, or from any part of
355 it. (Additional permissions may be written to require their own
356 removal in certain cases when you modify the work.) You may place
357 additional permissions on material, added by you to a covered work,
358 for which you have or can give appropriate copyright permission.
359
360 Notwithstanding any other provision of this License, for material you
361 add to a covered work, you may (if authorized by the copyright holders of
362 that material) supplement the terms of this License with terms:
363
364 a) Disclaiming warranty or limiting liability differently from the
365 terms of sections 15 and 16 of this License; or
366
367 b) Requiring preservation of specified reasonable legal notices or
368 author attributions in that material or in the Appropriate Legal
369 Notices displayed by works containing it; or
370
371 c) Prohibiting misrepresentation of the origin of that material, or
372 requiring that modified versions of such material be marked in
373 reasonable ways as different from the original version; or
374
375 d) Limiting the use for publicity purposes of names of licensors or
376 authors of the material; or
377
378 e) Declining to grant rights under trademark law for use of some
379 trade names, trademarks, or service marks; or
380
381 f) Requiring indemnification of licensors and authors of that
382 material by anyone who conveys the material (or modified versions of
383 it) with contractual assumptions of liability to the recipient, for
384 any liability that these contractual assumptions directly impose on
385 those licensors and authors.
386
387 All other non-permissive additional terms are considered "further
388 restrictions" within the meaning of section 10. If the Program as you
389 received it, or any part of it, contains a notice stating that it is
390 governed by this License along with a term that is a further
391 restriction, you may remove that term. If a license document contains
392 a further restriction but permits relicensing or conveying under this
393 License, you may add to a covered work material governed by the terms
394 of that license document, provided that the further restriction does
395 not survive such relicensing or conveying.
396
397 If you add terms to a covered work in accord with this section, you
398 must place, in the relevant source files, a statement of the
399 additional terms that apply to those files, or a notice indicating
400 where to find the applicable terms.
401
402 Additional terms, permissive or non-permissive, may be stated in the
403 form of a separately written license, or stated as exceptions;
404 the above requirements apply either way.
405
406 8. Termination.
407
408 You may not propagate or modify a covered work except as expressly
409 provided under this License. Any attempt otherwise to propagate or
410 modify it is void, and will automatically terminate your rights under
411 this License (including any patent licenses granted under the third
412 paragraph of section 11).
413
414 However, if you cease all violation of this License, then your
415 license from a particular copyright holder is reinstated (a)
416 provisionally, unless and until the copyright holder explicitly and
417 finally terminates your license, and (b) permanently, if the copyright
418 holder fails to notify you of the violation by some reasonable means
419 prior to 60 days after the cessation.
420
421 Moreover, your license from a particular copyright holder is
422 reinstated permanently if the copyright holder notifies you of the
423 violation by some reasonable means, this is the first time you have
424 received notice of violation of this License (for any work) from that
425 copyright holder, and you cure the violation prior to 30 days after
426 your receipt of the notice.
427
428 Termination of your rights under this section does not terminate the
429 licenses of parties who have received copies or rights from you under
430 this License. If your rights have been terminated and not permanently
431 reinstated, you do not qualify to receive new licenses for the same
432 material under section 10.
433
434 9. Acceptance Not Required for Having Copies.
435
436 You are not required to accept this License in order to receive or
437 run a copy of the Program. Ancillary propagation of a covered work
438 occurring solely as a consequence of using peer-to-peer transmission
439 to receive a copy likewise does not require acceptance. However,
440 nothing other than this License grants you permission to propagate or
441 modify any covered work. These actions infringe copyright if you do
442 not accept this License. Therefore, by modifying or propagating a
443 covered work, you indicate your acceptance of this License to do so.
444
445 10. Automatic Licensing of Downstream Recipients.
446
447 Each time you convey a covered work, the recipient automatically
448 receives a license from the original licensors, to run, modify and
449 propagate that work, subject to this License. You are not responsible
450 for enforcing compliance by third parties with this License.
451
452 An "entity transaction" is a transaction transferring control of an
453 organization, or substantially all assets of one, or subdividing an
454 organization, or merging organizations. If propagation of a covered
455 work results from an entity transaction, each party to that
456 transaction who receives a copy of the work also receives whatever
457 licenses to the work the party's predecessor in interest had or could
458 give under the previous paragraph, plus a right to possession of the
459 Corresponding Source of the work from the predecessor in interest, if
460 the predecessor has it or can get it with reasonable efforts.
461
462 You may not impose any further restrictions on the exercise of the
463 rights granted or affirmed under this License. For example, you may
464 not impose a license fee, royalty, or other charge for exercise of
465 rights granted under this License, and you may not initiate litigation
466 (including a cross-claim or counterclaim in a lawsuit) alleging that
467 any patent claim is infringed by making, using, selling, offering for
468 sale, or importing the Program or any portion of it.
469
470 11. Patents.
471
472 A "contributor" is a copyright holder who authorizes use under this
473 License of the Program or a work on which the Program is based. The
474 work thus licensed is called the contributor's "contributor version".
475
476 A contributor's "essential patent claims" are all patent claims
477 owned or controlled by the contributor, whether already acquired or
478 hereafter acquired, that would be infringed by some manner, permitted
479 by this License, of making, using, or selling its contributor version,
480 but do not include claims that would be infringed only as a
481 consequence of further modification of the contributor version. For
482 purposes of this definition, "control" includes the right to grant
483 patent sublicenses in a manner consistent with the requirements of
484 this License.
485
486 Each contributor grants you a non-exclusive, worldwide, royalty-free
487 patent license under the contributor's essential patent claims, to
488 make, use, sell, offer for sale, import and otherwise run, modify and
489 propagate the contents of its contributor version.
490
491 In the following three paragraphs, a "patent license" is any express
492 agreement or commitment, however denominated, not to enforce a patent
493 (such as an express permission to practice a patent or covenant not to
494 sue for patent infringement). To "grant" such a patent license to a
495 party means to make such an agreement or commitment not to enforce a
496 patent against the party.
497
498 If you convey a covered work, knowingly relying on a patent license,
499 and the Corresponding Source of the work is not available for anyone
500 to copy, free of charge and under the terms of this License, through a
501 publicly available network server or other readily accessible means,
502 then you must either (1) cause the Corresponding Source to be so
503 available, or (2) arrange to deprive yourself of the benefit of the
504 patent license for this particular work, or (3) arrange, in a manner
505 consistent with the requirements of this License, to extend the patent
506 license to downstream recipients. "Knowingly relying" means you have
507 actual knowledge that, but for the patent license, your conveying the
508 covered work in a country, or your recipient's use of the covered work
509 in a country, would infringe one or more identifiable patents in that
510 country that you have reason to believe are valid.
511
512 If, pursuant to or in connection with a single transaction or
513 arrangement, you convey, or propagate by procuring conveyance of, a
514 covered work, and grant a patent license to some of the parties
515 receiving the covered work authorizing them to use, propagate, modify
516 or convey a specific copy of the covered work, then the patent license
517 you grant is automatically extended to all recipients of the covered
518 work and works based on it.
519
520 A patent license is "discriminatory" if it does not include within
521 the scope of its coverage, prohibits the exercise of, or is
522 conditioned on the non-exercise of one or more of the rights that are
523 specifically granted under this License. You may not convey a covered
524 work if you are a party to an arrangement with a third party that is
525 in the business of distributing software, under which you make payment
526 to the third party based on the extent of your activity of conveying
527 the work, and under which the third party grants, to any of the
528 parties who would receive the covered work from you, a discriminatory
529 patent license (a) in connection with copies of the covered work
530 conveyed by you (or copies made from those copies), or (b) primarily
531 for and in connection with specific products or compilations that
532 contain the covered work, unless you entered into that arrangement,
533 or that patent license was granted, prior to 28 March 2007.
534
535 Nothing in this License shall be construed as excluding or limiting
536 any implied license or other defenses to infringement that may
537 otherwise be available to you under applicable patent law.
538
539 12. No Surrender of Others' Freedom.
540
541 If conditions are imposed on you (whether by court order, agreement or
542 otherwise) that contradict the conditions of this License, they do not
543 excuse you from the conditions of this License. If you cannot convey a
544 covered work so as to satisfy simultaneously your obligations under this
545 License and any other pertinent obligations, then as a consequence you may
546 not convey it at all. For example, if you agree to terms that obligate you
547 to collect a royalty for further conveying from those to whom you convey
548 the Program, the only way you could satisfy both those terms and this
549 License would be to refrain entirely from conveying the Program.
550
551 13. Use with the GNU Affero General Public License.
552
553 Notwithstanding any other provision of this License, you have
554 permission to link or combine any covered work with a work licensed
555 under version 3 of the GNU Affero General Public License into a single
556 combined work, and to convey the resulting work. The terms of this
557 License will continue to apply to the part which is the covered work,
558 but the special requirements of the GNU Affero General Public License,
559 section 13, concerning interaction through a network will apply to the
560 combination as such.
561
562 14. Revised Versions of this License.
563
564 The Free Software Foundation may publish revised and/or new versions of
565 the GNU General Public License from time to time. Such new versions will
566 be similar in spirit to the present version, but may differ in detail to
567 address new problems or concerns.
568
569 Each version is given a distinguishing version number. If the
570 Program specifies that a certain numbered version of the GNU General
571 Public License "or any later version" applies to it, you have the
572 option of following the terms and conditions either of that numbered
573 version or of any later version published by the Free Software
574 Foundation. If the Program does not specify a version number of the
575 GNU General Public License, you may choose any version ever published
576 by the Free Software Foundation.
577
578 If the Program specifies that a proxy can decide which future
579 versions of the GNU General Public License can be used, that proxy's
580 public statement of acceptance of a version permanently authorizes you
581 to choose that version for the Program.
582
583 Later license versions may give you additional or different
584 permissions. However, no additional obligations are imposed on any
585 author or copyright holder as a result of your choosing to follow a
586 later version.
587
588 15. Disclaimer of Warranty.
589
590 THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY
591 APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT
592 HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY
593 OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO,
594 THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
595 PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM
596 IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF
597 ALL NECESSARY SERVICING, REPAIR OR CORRECTION.
598
599 16. Limitation of Liability.
600
601 IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
602 WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS
603 THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY
604 GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE
605 USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF
606 DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD
607 PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS),
608 EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF
609 SUCH DAMAGES.
610
611 17. Interpretation of Sections 15 and 16.
612
613 If the disclaimer of warranty and limitation of liability provided
614 above cannot be given local legal effect according to their terms,
615 reviewing courts shall apply local law that most closely approximates
616 an absolute waiver of all civil liability in connection with the
617 Program, unless a warranty or assumption of liability accompanies a
618 copy of the Program in return for a fee.
619
620 END OF TERMS AND CONDITIONS
621
622 How to Apply These Terms to Your New Programs
623
624 If you develop a new program, and you want it to be of the greatest
625 possible use to the public, the best way to achieve this is to make it
626 free software which everyone can redistribute and change under these terms.
627
628 To do so, attach the following notices to the program. It is safest
629 to attach them to the start of each source file to most effectively
630 state the exclusion of warranty; and each file should have at least
631 the "copyright" line and a pointer to where the full notice is found.
632
633 <one line to give the program's name and a brief idea of what it does.>
634 Copyright (C) <year> <name of author>
635
636 This program is free software: you can redistribute it and/or modify
637 it under the terms of the GNU General Public License as published by
638 the Free Software Foundation, either version 3 of the License, or
639 (at your option) any later version.
640
641 This program is distributed in the hope that it will be useful,
642 but WITHOUT ANY WARRANTY; without even the implied warranty of
643 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
644 GNU General Public License for more details.
645
646 You should have received a copy of the GNU General Public License
647 along with this program. If not, see <http://www.gnu.org/licenses/>.
648
649 Also add information on how to contact you by electronic and paper mail.
650
651 If the program does terminal interaction, make it output a short
652 notice like this when it starts in an interactive mode:
653
654 <program> Copyright (C) <year> <name of author>
655 This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'.
656 This is free software, and you are welcome to redistribute it
657 under certain conditions; type `show c' for details.
658
659 The hypothetical commands `show w' and `show c' should show the appropriate
660 parts of the General Public License. Of course, your program's commands
661 might be different; for a GUI interface, you would use an "about box".
662
663 You should also get your employer (if you work as a programmer) or school,
664 if any, to sign a "copyright disclaimer" for the program, if necessary.
665 For more information on this, and how to apply and follow the GNU GPL, see
666 <http://www.gnu.org/licenses/>.
667
668 The GNU General Public License does not permit incorporating your program
669 into proprietary programs. If your program is a subroutine library, you
670 may consider it more useful to permit linking proprietary applications with
671 the library. If this is what you want to do, use the GNU Lesser General
672 Public License instead of this License. But first, please read
673 <http://www.gnu.org/philosophy/why-not-lgpl.html>.
0 3.0.0 01may06 Initial release after promotion from 1.3.86 in order to reduce
1 confusion with 2.x series.
2 Added user's manual pdf file to distribution in doc/.
3 Added vertex and rtlbrowse for sourcecode annotation.
4 3.0.1 09may06 Automatically add extensions to save filenames in gtkwave.
5 Cygwin compile fixes. Add Cygwin functionality for fork()
6 related ops that do in fact work properly.
7 3.0.2 09may06 More fixes for full function (except pthreads) in Cygwin.
8 Requires usage of Cygserver if rtlbrowse is to work.
9 Fixed fonts for Cygwin to improve readability.
10 The Courier font is very bad looking and blitter mangled under
11 cygwin.
12 3.0.3 29may06 Changed yylineno references in vlex.l in rtlbrowse to
13 my_yylineno as newer versions of flex automatically define
14 this and cause duplicate symbols.
15 Saw there were still problems with courier on debian. Also
16 check for misc-fixed on non-cygwin systems just in case.
17 3.0.4 30may06 Added busy watch (hourglass) for long ops that lock the GUI.
18 Fixed replace function in treesearch_gtk2 so it doesn't
19 simply replace with the last signal. (Iterator shouldn't
20 be used the way it was.)
21 3.0.5 08jun06 Reworked tree structure code to handle new tree layout
22 such that strings are embedded at the end of struct. This
23 reduces memory usage overall.
24 Added in implicit hierarchy split on pipe character in
25 symbol names as these only really happen in netlists that
26 have escaped identifiers.
27 For vzt and lxt2 loaders added block allocation of symbols
28 and nodes during init in order to allow denser memory
29 allocation as the bookkeeping overhead between allocations
30 internal to malloc() is unnecessary as the number of
31 elements is known at the outset. Also removed hashing in
32 aet2, lxt, lxt2, vzt as sym[hv] is unused on sorted facs.
33 Removed unnecessary strlen() calls after sprintf() in the
34 dumpfile loaders as the string length is known from the rc
35 of sprintf.
36 Fixed T_SCOPE rule in vcd.c as dotted hierarchies would
37 throw off the parser as hierarchies themselves are
38 (in practice) never really escaped.
39 In tree.c, treenamefix() would recurse more than necessary
40 such that some dotted hierarchies would cause an order of
41 n squared recursion and as such never complete running
42 due to the size of the hierarchy.
43 Found non _2 versions of memory allocate/free being used
44 in various non-library places.
45 Fixed signal aliasing problems in GHW traces that have
46 memories or aliased signals in ghw.c.
47 Added handling for e8 datatypes and arrays in ghwlib.c
48 and ghw.c.
49 Updated ghwlib.c and main.c in order to handle gzip or
50 bzip2 compressed files. Extension can be .ghw, .ghw.gz,
51 or .ghw.bz2 and the file will be handled properly. Note
52 that as the matchword is consulted, just .ghw can be used
53 to specify a gzip or bzip2 file implicitly.
54 Added ghwdump and ghwdump.1 to the distribution (from ghdl)
55 as it is helpful for debugging ghw file failures.
56 3.0.6 14jul06 Added "Real" option for data type display as passing around
57 real numbers in Verilog across modules needs to be done
58 with $realtobits and $bitstoreal.
59 Added scripting command flag --script in order to allow
60 autosetup of things that are outside of config file control.
61 This also allows doing things such as automated print jobs.
62 Added --nowm to remove window manager control on most
63 windows the viewer brings up.
64 Added --xid to allow turning the viewer into a plug-in for
65 calling by foreign applications. This will allow integration
66 of the viewer into a single window for example, with IDEs.
67 Added --nomenus to remove menus for the case when used in
68 conjunction with --xid and it is desired to create an
69 embedded wave viewer applet that cannot initiate file I/O
70 on its own. Note that earlier versions of GTK+ cannot
71 handle menu events properly from a GtkPlug.
72 Fixed problem with dead memory allocations for GtkColor
73 structs in color.c as found by Valgrind.
74 Moved .odt version of the user's guide into doc/ rather than
75 the pdf version as this is a sourcecode distribution so the
76 original word processor document should be there. The .pdf
77 will still be up on the homepage on the website.
78 Added example script (for use with the -S option) in the
79 examples/ directory that prints some waves then exits.
80 Found problem with directive-based string embedding in older
81 gcc compiler versions.
82 GTK+-1.2 doesn't handle GtkPlug.
83 3.0.7 17jul06 Added support for dualview of waveforms using GtkPlug and
84 shared memory IPC through use of the "twinwave" front end.
85 Updated documentation to add a section on twinwave.
86 3.0.8 04aug06 Modified order of flags in twinwave as appending flags
87 does not work when longopt isn't used.
88 Subscripted GtkItemFactoryEntry menu_items for AIX compile.
89 More AIX fixes such as conditional alloca.h usage and
90 not including getopt.h.
91 Removed unused "bus" element from struct fac.
92 Added interactive loading of vcd files with the routines in
93 vcd_partial.c. Added shmidcat to the distribition to test
94 this new interactive loading functionality.
95 Added real_parameter vartype (Riviera Aldec 2006.6) in all
96 vcd loaders.
97 3.0.9 10aug06 Fixing compiler warnings across various systems.
98 Minor speedup in sigcmp() in bitvec.c for EOS detection.
99 Fix in vcd_partial.c loader that crashed on interactive
100 loads when blank traces are present in the save file.
101 3.0.10 13aug06 Found inadvertant 64/32 bit conversion in regex.c that was
102 from not including "debug.h" header file.
103 Missing headers for gcc-3.2.x and earlier dealing with
104 select().
105 Converted fgetmalloc() to use vlists in order to cut
106 down on the backflips and traversal required for the old
107 one character per struct method.
108 Added VCD recoder that stores the VCD in memory using a
109 new recoding scheme rather than histents. HistEnt structs
110 are created as needed. The old VCD loader is still used for
111 --interactive, or if --legacy is used at the command line.
112 Added dynamic zlib compression support to VCD recoder and
113 vlist_compression_depth rc variable. -1 disables, 0-9
114 mirror zlib compression depths.
115 3.0.11 12sep06 Updated documentation to include section on VCD recoding
116 strategy and LXT (version 1) file format.
117 Removed unnecessary mallocs and functions in vcd_recoder.c.
118 Cleanup of all warnings with gcc -pedantic in gcc3
119 excluding long long integer constant and string constant
120 length warnings.
121 Disabled splash screen when loading VCD from stdin.
122 Cygwin compile fixes (3.0.10 broke the compile).
123 3.0.12 19sep06 Updated production rule in verilog.g to handle compiling
124 parameterized components in opensparc without dying.
125 Integrated a lot of compatibility fixes from Peter O'Gorman.
126 Actually use config.h for better compatibility now.
127 Check for endianness in vzt read/write if XDRs not available
128 rather than assuming windows will byte reverse.
129 Fixed single time read in vzt_read.c as it wasn't working
130 properly in rtlbrowse.
131 Added -f (insert args from file) option to vertex.
132 3.0.13 06oct06 Updated odt file documentation to make chapter-like page
133 breaks.
134 Updated lxt2vcd and vzt2vcd to emit proper VCD headers for
135 other tools that expect them.
136 Added attempt_vecmatch() in bitvec.c to match vectors based
137 on numbers inside nets in order to match on vectors inside
138 of flattened netlist latches (e.g., top.xyz[0:7]_Z).
139 Fixed regex compare on illegal regexs that caused viewer
140 crash (e.g., when comparing on a single left bracket or
141 any other illegal regex).
142 3.0.14 17oct06 Fixed vztminer and lxt2miner so not specifying -n actually
143 works. Updated manpages and UM for those executables to
144 fix description errors.
145 Now can snap cursor to named markers--this was overlooked.
146 Changed recoder scheme for single bits slightly in order
147 to pack 2 more bits in for 0/1 transitions. This implies
148 that 0..31 timesteps can be encoded in 1 byte for the
149 common case.
150 3.0.15 27oct06 Added getopt_long from GNU project.
151 Fixed broken compile under GTK1 for rtlbrowse makefile.
152 Now can recoalesce bitblasted vectors in rtlbrowse.
153 Updated finalize in vcd recoder to add fake 'x' vch for
154 non-aliased facilities. Normally all facs are initialized,
155 but noticed that libvcddump doesn't do this.
156 3.0.16 13nov06 Patches to remove some compile warnings under Cygwin.
157 Changed maxdata linker flag for AIX to allow 3.25GB of
158 data segment area for AIX5.3.
159 Changed unsigned integer splay comparisons in vzt_write.c
160 for a slight speedup.
161 Updated configure patch scripts to patch over a "LEX = :"
162 glitch for AIX5.3 and also to use gcc in AIX for the pccts
163 compile because of xlc incompatibilities with it.
164 Fixed bug in draw_hptr_trace_vector_analog() that would
165 cause crashes on pure real vectors when zoomed out fully.
166 Added dynamic tooltips on current marker values for both
167 the left and middle mouse buttons (not tested for Win32
168 yet so disabled there).
169 Added preliminary support for changing menu accelerators
170 through the rc file with an "accel" statement.
171 3.0.17 27nov06 Fix in vcd recoder for SystemC which doesn't emit time
172 zero in the initial dumpvars. (would be needed anyway for
173 dumpers that for some reason don't as the 1st value
174 change collapses into the second)
175 3.0.18 28nov06 Fix in vcd saver for when units are in seconds: keeps
176 timescale of "ss" being used instead of just "s".
177 Locale problem in printing of floating point numbers fixed
178 by setting up a local fixer routine in config.h for
179 availability across all executables.
180 Fix in all vcd loaders to handle "port" (along with other
181 keywords) that appear in non-keyword parts of the $VAR
182 declaration sequence.
183 Fixed long standing LXT bug with integer datatype on
184 trivial LXT files. (Overflow in lt_buf decoder buffer.)
185 3.0.19 21dec06 More locale fixing with LC_ALL.
186 Added user patches from NIIBE Yutaka to remove requirement
187 for the GTK_ENABLE_BROKEN flag in GTK2 compilation.
188 3.0.20 21jan07 Fixed string/real handling in VCD recoder as it did not
189 properly add the right-hand side endcaps which would
190 crash pattern search.
191 Made vcd recoder more robust to be able to handle 'b'
192 value changes for string data as well as wires with
193 's' type data (for FlashSim).
194 Updated strace.c to allow forward/backward on strings and
195 reals.
196 3.0.21 02feb07 Added support for in/out/inout evcd ports generated by
197 dumpports in ModelSim.
198 Fixed problem with hierarchy being out of order as
199 treegraft needed to be followed by treesort. This mostly
200 impacted recursive hierarchy imports by only allowing
201 two signals to import rather than all of them. Also there
202 was an inconsistency with the signal ordering with VCD vs
203 the database formats because of this.
204 3.0.22 19feb07 Bumped up hash size from 65519 to 500009 entries for VCD
205 parsers.
206 Bumped up max VCDID fastindex size to 8M entries.
207 Now use gperf for verilog datatypes for some speedup in
208 gtkwave vcd loaders.
209 create_sorted_table() changed to deallocate long names in
210 vcd converters as the names are no longer needed once the
211 writer has them.
212 Fixed GTK1 makefile in src/ as twinwave had pkg-config
213 for GTK2.
214 Stray getopt.h include in v2l_analyzer_lxt2.h needed to
215 be #ifdef'ed with HAVE_GETOPT_H for Solaris.
216 XDR library -lnsl explicitly listed for Solaris.
217 PATH_MAX set if not defined in verilog.g for Vertex.
218 Regenerated configure for setenv()/unsetenv() presence
219 which impacts Solaris (use putenv() instead).
220 Regenerated configure to handle auto detect of -lnsl,
221 -lrpc, and -lpthread.
222 Added -c to file install in top-level makefile (Solaris).
223 Use _LARGEFILE_SOURCE value from configure with linux
224 rather than assuming it is always 1 with linux.
225 Fix to preproc.c in vertex as it was attempting to parse
226 directories. This works ok under linux with EOF but causes
227 problems under AIX.
228 3.0.23 19mar07 Added corresponding va_end() for all va_start().
229 Added optional frequency display between markers, also added
230 rc variable of use_frequency_display and menu options to
231 support this.
232 Placed mainbox for marker text label widgets inside an event
233 box as this seems to fix the centering problems with GTK2.
234 3.0.24 02apr07 Fixed makefile generation so 32bit AIX will use >256MB in
235 src/helpers.
236 Updated vzt2vcd and lxt2vcd so it handles the zero index of
237 bitblasted vectors (from ncsim).
238 Updated vzt reader so it can re-coalesce bitblasted vectors
239 provided the dumped bits are adjacent/in order.
240 Minor bugfixes to vztminer and lxt2miner with respect to
241 dumpon/dumpoff (don't emit $dumpon/$dumpoff strings as
242 that code was leftover from the vzt2vcd).
243 Updated manpages for vzt2vcd, lxt2vcd, and vztminer.
244 On concatenated vector loads ('#'/':' in savefile), attempts
245 to do a load of a monolithic vector on fail. This is
246 somewhat related to the re-coalesce vectors feature above.
247 Implemented reverse of this for monolithic vectors into
248 the '#' bitstrand variants.
249 3.0.25 10apr07 System Verilog with MTI fix for VCD declarations of form
250 $var reg 64 >w #implicit-var###VarElem:ram_di[0.0] [63:0] $end
251 ...debussy implicitly escapes the varname during loading so
252 gtkwave does it too now for all VCD loaders.
253 More System Verilog with MTI fixes: VCD (parameter) vars of
254 length zero are representative of reals with MTI: they don't
255 use real_parameter like Riviera does.
256 Updated vcd_saver.c to handle saving these implicit-var
257 facilities correctly. Likewise updated lxt-write.c,
258 lxt2_write.c, and vzt_write.c to handle correct bracket
259 stripping for the [0.0] semantics.
260 Modified dynamic resize routine so large escaped names don't
261 cause issues with the signal window crowding out the
262 wave window.
263 3.0.26 18apr07 Fixed various compiler warnings discovered from looking at
264 Fedora Core compile logs and later compiling against
265 Centos/RHEL5.
266 Commented out GTK_CAN_FOCUS for scollbars in wavewindow
267 as it was causing rendering problems with newer versions
268 of GTK.
269 3.0.27 27apr07 Renamed vertex to vermin to avoid name clashes with
270 existing 3D "vertex" package on debian systems.
271 Reverted back to using Open Office 2 Beta rather than
272 Open Office 2 as it was causing .odt docs corruption.
273 3.0.28 30apr07 Changed anonymous union in struct Node as it is a
274 gcc extension.
275 Fixed some vcd parser memory leaks found by Valgrind.
276 Moved errno check in vcd parser as it seems that
277 the GTK event loop called in splash_sync() calls it
278 on gnome 2.18 for some reason (reported by Gentoo
279 gtkwave package maintainer).
280 3.0.29 27may07 Added viewer support for arrays (currently AE2 only).
281 Integrated (standard) ./configure scheme thanks to
282 Dan McMahill
283 Added strdup_2 to debug.c for memory tracking (found
284 stray strdup() instances in ghw.c).
285 3.0.30 24jul07 Removed variable declaration in menu.h that tcc
286 complained about (should have been an extern).
287 Updated configure.ac to use AC_SYS_LARGEFILE for
288 > 2GB file compatibility with older linux systems.
289 (This was accidentally left out in 3.0.29.)
290 Added ignore_savefile_pos and ignore_savefile_size
291 rc variables. (Requested by Edward Ash.)
292 Added ability to specify trace background color in
293 filter processes by prefixing the return string with
294 ?color? as in "?CadetBlue?xor r0,r0,r0". The colors
295 used must already be specified in the rgb.c file.
296 Removed check for c++ compiler presence in the
297 autoconf.
298 Fixed LIBBZ_CFLAGS in .am files that really should
299 be LIBBZ2_CFLAGS.
300 3.1.0 25aug07 Moved to a global context variable management scheme
301 for future code expansion. Reload waveform function
302 added which uses context management. Update user
303 manual to include references to reload capability.
304 3.1.1 20sep07 Fixed crash in hierarchy search reload. (Wrong
305 pointer type introduced from code cleanup.) Adding
306 start of tabbed browsing support. Put in window
307 select focus switching between tabs (e.g., on
308 search windows). Added locking in main iteration
309 loop to detect unexpected context switches and
310 fix/report them (wrong operation if it occurs).
311 3.1.2 24dec07 Compiler warning cleanups from Sun compile logs.
312 Added named parameter support to vermin parser.
313 Added check for gperf back into configure.ac.
314 Added vlist_spill rc variable to control new
315 feature of spilling vlists to a tempfile on disk.
316 Fixed vcd loader status bar on files > 2GB.
317 Removed non-growable vlists and also finalize
318 aliases in order to be compatible with spill.
319 Changed vlist allocation scheme to allocate only
320 half as much per-block, then the rest when the
321 half-way point is reached. This reduces memory
322 wastage to an average of 12.5% rather than 25%
323 on uncompressed blocks.
324 Added code to pre-process data in vlists through
325 an LZ-based compressor. The can cut down on
326 memory usage ever further. This can be enabled
327 with the vlist_prepack rc variable.
328 Added --giga option to turn on vlist_spill and
329 vlist_prepack from the command line.
330 3.1.3 13jan08 Added dynamic keypress detection in the Pattern Search
331 Requester so users do not need to press enter for search
332 strings. Likewise, added the rc variable sst_dynamic_filter
333 to enable the same type behavior for the signal name filter in
334 the GTK2 signal search tree.
335 Fixed bug where filtered signal names did not reappear on
336 reload.
337 Updated user manual as necessary.
338 Added "edge" left/right buttons for handy single signal edge
339 detection due to user requests.
340 Fixed long-standing backward edge seek bug in pattern search.
341 (It would miss the preceeding edge if the marker isn't already
342 on one.)
343 Added use_standard_clicking rc variable in order to enable
344 "normal GTK" shift/click semantics in the signal window.
345 Collapse/uncollapse is now shift-ctrl when
346 use_standard_clicking is active.
347 Added prelim dnd for use_standard_clicking mode.
348 use_standard_clicking disabled in GTK-1.2 as there are dnd
349 issues.
350 Recalculate signal width on reload as sometimes it was missed.
351 Added input focus capability to signalwindow.
352 Moving menu options to standard GTK accelerator keys.
353 Added Ctrl-A/Shift-Ctrl-A handler to the treeview so it acts
354 like the signal window.
355 Added left/right scrolling hotkeys in signal window.
356 Added use_toolbutton_interface environment variable which
357 enables new user interface at the top of screen.
358 More modifications to handle globals swapping in multi-tab
359 mode.
360 Update configure.ac to handle library order problem with
361 cygwin in rtlbrowse.
362 Added scrollwheel support in signal window when focused and
363 standard clicking is active.
364 Added "Use Color" and "Use Black and White" (for screendumps)
365 View menu options from user requests.
366 Fixed help window so it is not editable. Also do an implicit
367 click-to-front for window managers that automatically move
368 windows to front when their insides (not decorations) are
369 clicked on.
370 3.1.4 30jan08 Added fix for how dnd gets killed after reload on new
371 versions of GTK (e.g., 2.10.14).
372 Added dirty_kick in MaxSignalLength that forces usize if
373 width is dirty.
374 For interpolated analog traces, fixed interpolation at
375 end of line so it doesn't cause endpoint to go offscreen.
376 Experimenting with track-and-hold fixing.
377 Integrated spice3f5 poly interpolation routines, but are
378 currently unused.
379 Now allow both interpolated+step simultaneously for analog.
380 Integrating signal window popup menu code.
381 Fixed rendering bugs in coalesced vectors displayed as analog.
382 Added resizing options that are windowed to either the screen
383 or across all trace data.
384 Added enter=OK as a default file chooser response.
385 Added DND and standard clicking to GTK1 compiles.
386 3.1.5 14feb08 Fixed bug in rtlbrowse that causes lxt2 dumps not to be value
387 annotated. (signal match worked for bitblasted nets only)
388 Updated rtlbrowse so that it can update dynamically with the
389 marker position.
390 Added anti-aliased font rendering code.
391 Added use_pango_fonts rc variable.
392 Updated edge buttons so they can handle multiply selected
393 signals.
394 Logfile(s) now update on waveform reload.
395 MinGW compile fixes. MinGW crash on reload fixed.
396 3.1.6 27feb08 Added additional #ifdefs that disable Pango on GTK versions
397 less than 2.8.0.
398 Added support for optional sideband .aetinfo files when
399 using .aet files. Update interface to rtlbrowse to
400 translate time value back to original aet ones.
401 Improved x vs X (z vs Z, etc) handling at signal boundaries.
402 Changed color scheme where red marks X data similar to how
403 it marks U for VHDL.
404 Fixed longstanding bug in linear lxts that only occurs if
405 integers are present in the lxt dump (length is failed to
406 be promoted to 32 during re-chaining).
407 Fixed shift-clicking when use_standard_clicking is active
408 such that shift-clicks past the last trace when the
409 signalwindow isn't fully populated count as a shift-click
410 on the last trace.
411 3.1.7 23mar08 Updated file.c to remove potential file chooser crash in
412 the case of a missing save file at the command line followed
413 by "write save file as".
414 Fixed problem in black and white mode where process filter
415 could possible cause colors to be displayed when ?color?
416 value escaping is used.
417 Fixed problem where primary marker was listed as 0 sec on
418 init instead of -- if not set initially from a save file.
419 3.1.8 06apr08 Added Range Fill option in the data format menu so
420 that vectors like address[31:2] will display as a human
421 expects to read them. Fill in can be zeros or ones.
422 Added trace flags display at right hand side of signal name
423 in mouseover popup window.
424 Added more visual feedback in signal D&D window in order
425 for users to determine more easily where a drop will insert.
426 Reduced visual noise on D&D by not updating screen after a
427 cut if a paste also occurs.
428 Fixed problem where primary marker was filtered through
429 time_trunc() on initialization. Removed other time_trunc()
430 calls causing similar problems as necessary.
431 3.1.9 20apr08 Added missing init_filetrans_data() to reload function.
432 Updated manfiles to get them in line with Debian lintian.
433 3.1.10 14may08 Added missing adjustment of t->shift in mouseover.c in
434 order to allow the mouseover on shifted traces to display
435 properly.
436 Fixed problem with edgebutton going back two edges on a
437 combined vector. (Cut and paste typo from strace.c.)
438 Added support for DND from regex search window to the
439 signal/waveareas.
440 3.1.11 18jun08 Compile fix for tla2vcd in MinGW.
441 Added #ifdef for HAVE_BZERO for MinGW.
442 Compiler warning fixes.
443 Added time = -1 endcaps in LXT2+VZT+AET loaders.
444 3.1.12 14jul08 Compiler warning fixes.
445 Fixed crash in vcd recoder for b vs 01xz mixups in
446 malformed VCD files.
447 Fixed abort on VCD load for malformed size vs
448 [msi:lsi] syntax. NC does this on arrays of wires.
449 Fix to vlist reader with --giga enabled in order to
450 handle reads which go off the end of the file.
451 (Possible due to how only the amount used in a block
452 is actually written to the file in order to save
453 space, so the vlist blocks can be overlapping yet
454 usable.)
455 3.1.13 20aug08 Adding compressed hierarchy handling in order to
456 reduce memory usage on large bitblasted models.
457 This is currently only enabled for the VCD recoder,
458 LXT, LXT2, and VZT loaders.
459 Fixed some buffer overflows in vectorization code
460 (and when vectorization is enabled) in vzt_read.c.
461 Modification to autoconf handling for rpc.h.
462 Fix for DnD to directly under an expanded comment
463 trace.
464 Make step_increment equal to 1/10 page_increment
465 for the horizontal scroller in the wavewindow.
466 Added sticky click semantics for clicks in signal
467 window. To do this in the treesearch_gtk2 file
468 will require some additional future work with
469 view_selection_func() and/or signal handling and
470 trapping.
471 3.2.0 16feb09 Fix for strings ('s' type) in recoder.
472 Added timestart command to savefiles which indicates
473 what the leftmost position should be on reload.
474 Added support for as/zs small timescales as well as
475 0.1/0.01/0.001 multipliers which simvision can emit.
476 Adding signal name DnD support from external apps.
477 Force open tree nodes on initial .sav file read.
478 Yet more new warning (-Wall) cleanups.
479 Fixed ExtractNodeSingleBit for vectors which do not
480 have a zero in either the msb or lsb (e.g., [1:9]).
481 Added support for dragging files into the viewer
482 (i.e., dumpfile, savefile, stems file)
483 Added DnD of signal names from RTLBrowse source code
484 windows directly into gtkwave.
485 GTK1 compiler compatibility fixes.
486 Improved search performance from rtlbrowse initiated
487 DnD searches.
488 Fixed wave_locale.h for MinGW.
489 Fixed longstanding bug with blackout regions
490 rendering.
491 Integrating embedded Tcl interpreter.
492 Fixed bug with unformat time when base time is in
493 seconds.
494 Added tcl example in examples/ directory.
495 Updated -f argument file handling in vermin.
496 Updated tcl_helper code so that signalwindow drags of bit-
497 blasted vectors are properly re-coalesced for client code
498 for the drag.
499 Updated task definition in vermin so that identifiers with
500 dots in them can be used as task enable names.
501 Fixed problem in vermin preprocessor where defines in 0x0d0a
502 terminated lines would insert the carriage return into the
503 sourcecode.
504 Added synthesis pragmas which mirror synopsys ones to vermin.
505 Used gtk_window_set_default_size instead of gtk_widget_set_usize
506 in rtlbrowse in order to allow window to shrink appropriately.
507 Made size_tag values smaller in logfile.c in gtkwave/rtlbrowse.
508 Allow dragging from rtlbrowse window without needing to high-
509 light signals first. This allows single signal drags.
510 GCC-4.1.3 with -O3 flag fix for x86_64 on xchgb instruction
511 assembler intrinsic.
512 Use gtk_window_set_transient_for() on simplereq windows.
513 Starting to update environment for rtlbrowse into a single
514 integrated window.
515 Adjust xthickness/ythickness in toolbars to make images
516 take up less space.
517 Preliminary support for text searching in rtlbrowse.
518 Added rtlbrowse case (in)sensitive searching both directions.
519 Fix re-entrancy on file names for file.c file requester in
520 gtkwave.
521 Add --with-tcl to configure script via tcl.m4 macro.
522 Cut down on visual noise during reload.
523 Added fix using TCL_INCLUDE_SPEC to get to compile under
524 Ubuntu.
525 Fixed lost num_cpus variable that wasn't passing through reload.
526 Added Cut/Copy/Paste functionality that allows multiple pastes
527 rather than destroying the cut buffer on paste. Cut buffer
528 contents will survive after a reload operation.
529 Removed translate filter process from mingw32 menu as it
530 is disabled in the compile by #ifdef'ing in empty functions.
531 Updated shmidcat so it restarts properly when VCD is being
532 looked at while sim is running and gtkwave gets ahead of
533 the generated VCD.
534 Fix crash on invalid node for force tree open during load.
535 Added Partial VCD Dynamic Zoom and related zoom_dynamic
536 rc variables.
537 Added prelim support for export to TimingAnalyzer file format.
538 Added mouseover support in signal window which shows full
539 facility name.
540 Added dynamic update on strings for marker values which keeps
541 user from having to press enter explicitly for each one.
542 Now allow support for named markers using optional user names
543 rather than just 'A'-'Z' labels.
544 Added countdown timer to remove dnd cursor if it is onscreen
545 longer than 5 seconds.
546 Added support for setting optional user names for named markers
547 via Tcl scripts.
548 Added prelim auto-scrolling code for trace adds/copies.
549 Added support for Tcl repscripts.
550 Removed warnings found when compiling with -Wshadow.
551 Fix for stack crash in treesort() on dumpfiles with an
552 extremely large number of signals (e.g., 5 million).
553 Compile fixes for rtlbrowse in older versions of GTK2.
554 Remove stray tempfiles created by --giga writer under MinGW.
555 Removed stray file descriptor from lxt on reload.
556 Fixed repeat error problem in lxt.c introduced by -Wshadow fix.
557 Added prelim version of scale_to_time_dimension rc variable and
558 appropriate menu options.
559 Beginning to update user manual to reflect new 3.2 features.
560 Added Partial VCD Dynamic Zoom To End and related
561 zoom_dynamic_end rc variables.
562 More mingw compile fixes: the whole tarball compiles now.
563 Use old file chooser (for now) in mingw as the new one seems
564 not to be re-sizeable.
565 Added "copy traces" to toolbar in gtkwave as copy function now
566 exists.
567 Added check for "server" in env var CYGWIN before printing
568 warning about using shared memory.
569 Added vpi client lxt/lxt2/vzt writers in contrib/vpi, but these
570 currently are not built.
571 Compatibility fix for gcc 3.x.
572 Added extload capability which grabs data via popen(). This
573 allows adding loaders for unsupported formats via data mining.
574 Added Tcl command setBaselineMarker.
575 Extload hardening on reload.
576 Added extload filetype to DnD.
577 Fixed window close when tabs active so it would update the
578 titlebar, times, etc., to the current tab.
579 3.2.1 09apr09 Add more information for users if gtk can't initialize on MacOS.
580 Added possibility for vlist.c to write out the spill file in a
581 machine independent fashion.
582 Added --fastload option to gtkwave.
583 Fixed autoconf so Tcl works in cygwin.
584 Updated cygwin "Bad system call" warning text to include more
585 possible fixes.
586 Fixed warning message in strace.c ("Named Marker xx not in use")
587 which spanned multiple lines because of multiple status_text()
588 calls.
589 Fixed STATUS_ACCESS_VIOLATION in cygwin for both vztminer and
590 lxt2miner and added the --comprehensive option in each. Also
591 added behavior that no search string specified matches all
592 value changes across all nets.
593 Updated documentation description for collapsible groups to
594 indicate that shift-control is required, not control
595 (as previously).
596 Adjusted brightnesses for mdgray and dkgray so collapsed
597 traces are visible on some monitors.
598 Fixed dkgray->mdgray for "Time" background as brightnesses
599 have changes.
600 Made AN_NORMAL/AN_REVERSE const declarations also static in
601 order to avoid unnecessary stack pushing.
602 Fixing reloader crashes in vcd_build_symbols().
603 Adding experimental support for bringing up gtkwave without a
604 trace like "every other" GUI app. This is currently disabled
605 pending more testing.
606 Sort filename lists from DnD in order to allow both a
607 dumpfile and a savefile to be dragged into the viewer and
608 processed in a logical order (i.e., the dumpfile before
609 the save file.)
610 Added disable_empty_gui rc file variable.
611 Working with ergonomic features of empty gui handling.
612 Fixed & to && in if() comparison in lxt_write.c
613 Added support for PDF output via ps2pdf.
614 Added support in VZT file format for LZMA compression.
615 Fixed calling to install_keypress_handler() as it only needs
616 to be done once.
617 Add menu blackouts on empty gui.
618 3.2.2 02aug09 Changed some instances of exit() in main.c to vcd_exit() in
619 order to keep failed loads in tabs from killing the whole
620 session.
621 Update VCD ID generation in VCD writers to use XL-style
622 identifier sequencing.
623 Fix MinGW printf format strings for helper apps.
624 Ported shmidcat and partial VCD loader function to MinGW.
625 Added twinwave support in MinGW. This currently has some
626 problems with D&D as well as window decorations being
627 present due to various system incompatibilities.
628 Added rtlbrowse support in MinGW.
629 Fixed rtlbrowse on reload, now allows to respawn.
630 Fixed rtlbrowse in cygwin as kill() does not work the same
631 as posix kill.
632 Added clearing of t->minmax_valid in dataformat() in case
633 sign bit changes for analog vectors when TR_ANALOG_FULLSCALE
634 is in use. This allows dynamically changing from/to "signed
635 decimal" and other modes and removes any y-scale artifacts
636 from having stale minmax data.
637 For rtlbrowse kill, step through all contexts in atexit()
638 handler. More exit fixes for rtlbrowse killing.
639 Warning fixes for printf format strings in lxt2/vzt/ghw.
640 Updated VCD parser to handle names like "a[1] [3:0]".
641 Added VCDNAM_ESCAPE cases in lxt, lxt2, and vzt loaders.
642 Updated VCD writers so they put spaces before bracketed
643 signal ranges.
644 Added extra message for help requester if file type is
645 MISSING_FILE in order to direct users what to do.
646 Fixed segfault caused by improper search/replace and
647 malloc length in renderopt.c for ps2pdf.
648 Beginning to integrate FST file format support.
649 Optimize rtlbrowse somewhat by not redoing fac finding
650 every time the cursor moves.
651 Beginning to integrate FST into rtlbrowse.
652 Added vcd2fst and fst2vcd helper utils.
653 Added option to FST to allow monolithic post-compress for
654 much smaller file sizes.
655 Documentation updates.
656 Added capability in GHW reader for negative indices on
657 bitstrands.
658 Added variable type support to VCD + impulse arrows for
659 depicting events on VCD (other formats will migrate in the
660 future).
661 Added vartype info (if avail in trace, now FST+VCD).
662 Added module type info (if avail, now FST+VCD+GHW only) by
663 decorating the hierarchy tree info appropriately.
664 Changed build_tree_from_name() to perform move to front for
665 hier names in order to work better with decorated trees.
666 Add EVCD support to vcd2fst, fst2vcd, and FST loader.
667 Fix longstanding bug in vcd parsers where evcd did not
668 parse correctly if standard VCD IDs were used.
669 Fixed "f" value in EVCD files so it converts to z, not x.
670 Convert capitalization usage on hex values to match that
671 of verilog. (i.e., x = all bits are x, X means some but
672 not all bits are x.)
673 Change magic number 3 to AN_1 in vtype2(). This was skipped
674 by an earlier sourcecode conversion.
675 Change file requester handling so old names are copied
676 to the new tab and the directory used on an unspecified
677 entry is derived from the loaded file name directory.
678 Added type information to mouseover in signal window.
679 Added --enable-fatlines ./configure flag which enables gtkwave
680 to render lines in "Fisher Price" (aka Simvision) double-
681 width style.
682 Added evcd2vcd to the distribution.
683 3.2.3 03sep09 Set iconify icon for gtkwave with gtk_window_set_icon().
684 Ensure -d flag survives across reloads/new tab.
685 Added LIBZ_CFLAGS to helpers/fst AM_CFLAGS for MinGW.
686 Added gtk_window_set_resizable for pWindowMain in file.c
687 as some distros need this.
688 Changed some strace.c globals to "signed char" to remove
689 warnings under AIX compiles.
690 AIX ./configure fixes.
691 Added malform_eof_fix() to recoder and regular VCD loader.
692 Fix to attempt_vecmatch_2 when no suffix encountered.
693 3.3.0 25dec09 Modified unformat_time() so it can also handle floating-
694 point exponential format.
695 Integration of a large amount of group handling sourcecode
696 from Don Baltus / Bluespec, Inc.
697 Re-integrated original Simpod repscript handling.
698 Reworked force open tree node and moved to
699 tcl_support_commands.c.
700 Renamed liblzma to libgwlzma for now: liblzma is present
701 on some systems and causing conflicts.
702 Fix for tk library issue on Cygwin. (Use TK_BUILD_LIB_SPEC
703 if TK_LIB_SPEC is a null string.)
704 Added --enable-stubify ./configure option to make Tcl/Tk
705 library usage be completely dynamic.
706 Generate anonymous name for groups to prevent crashes when
707 group name is unspecified.
708 Added EnsureGroupsMatch() on various parsewavline() code
709 sections to enforce legitimate group formations.
710 Applied gtk+-1.2 compile fixes as compile was getting out
711 of sync as it hadn't been tested recently.
712 Allow more events in GuiDoEvent() to prevent hangs.
713 Made --wish and --vcd mutually exclusive as they both require
714 input on stdin.
715 Enabled gc caching on linux to help with rendering extremely
716 dense traces.
717 Removed memcpy() ops in baseconvert.c and changed them into
718 pointer copies as the copied string is never modified.
719 Resequenced enum WV_MenuItems in menu.h which lost ordering
720 from Bluespec menu item adds.
721 Added gray code conversion ops.
722 Added FST detection to gtkwave::getDumpType.
723 Added missing context change variables in context_swapper().
724 Added gtkwave::setTabActive and gtkwave::getNumTabs
725 MinGW tcl compile fixes.
726 Cygwin fix for optimized vcd -o option.
727 Disabled reload on optimized vcd from stdin.
728 Removed --wish when building under MinGW and when specifying
729 --enable-stubify for configure (for now, the fail is with
730 TkMainEx).
731 Removed tempfile generation for Tcl script execution; now
732 use alloca based scheme. Also removed old-style "script"
733 file support as it is obsolete: all scripts are Tcl scripts
734 now.
735 Integrated user-provided rework of rgb.c.
736 Removed local lzma library and now use system xz if
737 available. Old VZT files using -z 2 are no longer readable
738 but can be converted using vzt2vcd from an old version of
739 gtkwave.
740 Various warnings fixes.
741 3.3.1 03jan10 Fixed Makefile.am files to allow builds into different
742 directories than the current one.
743 GHW crash fixes.
744 In fstapi.c now use tmpfile() to generate tempfiles in
745 order to speed up operation on networked filesystems.
746 Fixed problem in fstapi.c with conflict between off_t
747 and unsigned longs on some 32-bit systems which cause a
748 "tsec uncompress" failure on reads.
749 Fixed missing dependencies in various Makefile.am files.
750 3.3.2 05jan10 Emergency fix for ghw.c as it was missing a close comment
751 causing problems with iterative generate.
752 3.3.3 18feb10 Fix for Pattern Search where end marker time was not used
753 for dropping down a marker: for clock counts this would
754 mean that the clock count was off by one.
755 Added locking/unlocking of named markers against the
756 primary marker with 1/2/0 keys.
757 Fixed broken "make distclean".
758 Added patch for move to time against named markers.
759 Added a secondary pattern search function.
760 Added sanity checking on WAVE_NUM_STRACE_WINDOWS.
761 Added fix for broken bsearch_facs() when characters like
762 "$" are in a facname and it matches a hierarchy boundary.
763 Removed tla2vcd from distribution because of incompatibility
764 with some TLA700 traces.
765 Removed obsolete helper executables.
766 Activated preliminary line clipping for analog rendering as
767 line clipping in GTK does not always work for extreme
768 value ranges.
769 Hang in gtkwave on backtracking time fix (usually caused by
770 truncated files).
771 Replaced Tcl_GetStringResult() in tcl_np.c with Tcl_GetVar()
772 on Tk init fail.
773 Added AC_CHECK_LIB([dl], [dlopen]) (Fedora requirement).
774 Added --disable-xz (requested by Gentoo).
775 Added extern "C" { } bookends to headers for C++.
776 3.3.4 07mar10 Change slope calculation "m" in clipping.c to all doubles
777 in order to avoid integer overflow errors.
778 Change main resync loop name from gtkwave_gtk_main_iteration
779 to gtkwave_main_iteration. (Start of separation of GUI code
780 from functional code.)
781 More fixes to analog clipping: yt0/yt1 were reversed, also
782 added analog_redraw_skip_count env var.
783 Added support for "realtime" VCD variable.
784 GTK1 compile compatibility fixes for pattern trace.
785 Removed "Reduce Single Bit Vectors" menu option.
786 Added defensive re-link of t_prev on prepend and cut ops.
787 Fix for analog stretch traces when analog trace was
788 expanded and then collapsed.
789 Fix to LZMA_write_compress to detect xz compression failures.
790 3.3.5 19mar10 Fix for usage of deallocated next pointer in the
791 force_open_tree_nodes loop (spotted using an alternate
792 allocator).
793 Added optional preliminary Judy array support.
794 Fixed compiler warnings.
795 Fixed toggle max hier so it toggles back and forth between
796 the most previously set hierarchy depth.
797 Added ".lxt2" to list of suffixes allowed by gtkwave.
798 Remove name field from struct fac.
799 Added missing hierarchy boundary sort for FST in order to
800 allow compatibility with compressed names (-C flag).
801 Removed resolve_lxt_alias_to field from struct fac.
802 Removed lxt-only lastchange field from struct fac.
803 Removed unused h field in struct symbol.
804 Removed nextinaet field if unused, recoded to symchain when
805 used.
806 Fixed && used in logical operation for generating ExtNode.
807 Removed ExtNode, made inline with Node.
808 Deallocate symbol hash table after no longer needed.
809 Only allocate hash when necessary.
810 Added marker vs maxtime marker conflict check in
811 kick_partial_vcd() to ensure signal window values reflect data
812 value rather than x when maxtime scrolls over the marker time
813 and makes the marker visible.
814 More Judy array adds for VCD.
815 Removed sym->selected member and replaced with 1-bit Judy array
816 if enabled.
817 3.3.6 01may10 Added RealToBits menu options for displaying real numbers as
818 binary values.
819 Added missing break statements to terminate cases in
820 bits2vector().
821 Fixed cut and paste error on FILE_FILTER_MAX versus
822 PROC_FILTER_MAX.
823 Reduced FILE_FILTER_MAX from 1024 down to 128.
824 Added preliminary transaction filter support.
825 Added transaction parser in examples/ directory.
826 Updated time warp handling.
827 Updated print routine to use populateBuffer().
828 Added raise to front when filename selected in filter dialogs
829 as this helps with some window managers.
830 Remove color for translated/transaction traces in black and
831 white mode.
832 Copy gc_grid_wavewindow_c_1 from gccache on reload as this was
833 accidentally overwritten with gc_grid2_wavewindow_c_1 without
834 adding back gc_grid_wavewindow_c_1.
835 Added fstWriterSetTimescaleFromString() to fstapi.c which
836 allows usage of strings such as "1ns" for the timescale.
837 Incorporated FST writer optimizations.
838 Incorporated some fixes suggested by cppcheck.
839 3.3.7 03jun10 Made enable_fast_exit rc variable default to yes.
840 Compiler warning fix in lxt_write.c/fstapi.c for Open Solaris.
841 Added fstWriterGetDumpSizeLimitReached() to fstapi.c.
842 Fixes to Tcl string handling.
843 Applied user-supplied fixes for null pointer crashes in
844 rtlbrowse.
845 Moved gtk_grab_add() after gtk_widget_show() in order to work
846 with newer versions of GTK.
847 Use PRId64/PRId32 in lxt2_read.h and vzt_read.h to remove
848 printf format warnings.
849 Fixed "format not a string literal and no format arguments"
850 warnings.
851 Added missing HAVE_INTTYPES_H in compile note for
852 transaction.c.
853 Disable autocoalesce if Icarus Verilog is detected.
854 Added units forward scan in logfile.c.
855 3.3.8 25jun10 Added failure check on tempfile create in fstReaderInit().
856 Added strace_repeat_count and appropriate menu option.
857 Removed the "/File/Quit/Don't Quit" menu item if fast exit is
858 enabled.
859 Added dnd of signals from gtkwave into rtlbrowse: now the
860 appropriate verilog code sections automatically are
861 imported.
862 More warnings cleanups.
863 3.3.9 06jul10 Changed accelerator for Quit to conform to Gnome standard
864 menus guidelines.
865 Update local libz and libbz2 to current versions.
866 Moved version string out to version.h to keep from having
867 the CVS data updating in currenttime.h.
868 Fix crash that can occur in RemoveTrace.
869 Header file cleanups.
870 Fixed actual result of crash in RemoveTrace: defensive
871 re-linking in PasteBuffer didn't always relink the back
872 pointers properly.
873 3.3.10 16jul10 Fix in vermin Makefile.am for parallel build failures involving
874 shred.c depending on tokens.h.
875 Added missing dependencies in various Makefile.am files.
876 Fix for free to non-malloc'd address problem in main.c and
877 menu.c due to context changing in Tcl scripts when
878 gtkwave::/File/Open_New_Tab is invoked.
879 Updated vcd2fst so it is compatible with VerilatedVcd writer.
880 Read hierarchy reconstruction hardening for fstapi.c.
881 Check return code for hierarchy generation in fst2vcd.c.
882 Updated example to reflect Quit name change.
883 Updated repscript_timer so it prints stack trace.
884 Use setvbuf (as with MinGW) for fstapi.c to fix for OS X.
885 3.3.11 17aug10 Added tcl functions gtkwave::installFileFilter,
886 gtkwave::installProcFilter, gtkwave::installTransFilter,
887 gtkwave::setCurrentTranslateFile,
888 gtkwave::setCurrentTranslateProc,
889 gtkwave::setCurrentTranslateTransProc, and
890 gtkwave::setCurrentTranslateEnums to give Tcl access to these
891 features.
892 Add write combining in fstWriterEmitValueChange to speed
893 up execution on Cygwin.
894 Nested `ifdef fix for Vermin.
895 Fix for free to non-malloc'd address problem in repscripts
896 due to context changing in Tcl scripts when reload occurs.
897 Added gtkwavetcl_setvar() for starting to build a framework
898 to support Tcl variable change callbacks. This can be used
899 to closely monitor how a user manipulates the gtkwave GUI.
900 Fix for 0 millisecond Tcl timer causing 100% CPU usage.
901 Added CVS versus ModelSim compatibility fixes for Bluespec
902 savefiles.
903 Fix for atoi_64 when value is zero followed by a legitimate
904 nonzero value after some garbage non-numerics.
905 3.3.12 29aug10 Compile fix for --disable-tcl or systems which do not have
906 Tcl installed.
907 Added support for process filters in MinGW.
908 Added support for transaction filters in MinGW.
909 Added support for Open New Window to MinGW.
910 3.3.13 23sep10 Reduce memory footprint of VectorEnt on 32-bit architecture
911 by struct reordering.
912 Added warnings for options that are non-functional for some
913 configurations. (They are not disabled in order to allow
914 compatibility across systems.)
915 Fixed dangling fnam malloc in fst.c.
916 Reduced temporary memory usage during file init for lxt2,
917 vzt, and fst files by using F_NAME_MODULUS wrap on f_name.
918 Sparse vs non-sparse array crash fix for ae2 loader.
919 Suppress decorated treebuild for fst when compressed facs
920 are being used: this was causing duplicate tree entries.
921 Fixed renderhash problems in print.c caused by disparity
922 in eqns used in wavewindow vs print.c (need realx, not
923 just x).
924 Upgrade local libbz2 to 1.0.6 for uncompress security fix.
925 Added experimental dynamic SST building code which speeds
926 up initialization time for trees with extremely large number
927 of scopes.
928 3.3.14 26oct10 Fixed force_open_tree_node() for dynamic SST trees when
929 unbuilt nodes are encountered during traversal.
930 Allow VCD files where start = end time.
931 Compiler warning fixes.
932 Added preliminary RPC mechanism to gtkwave.
933 Added --disable-inline-asm ./configure flag.
934 Added initial_signal_window_width rc var.
935 3.3.15 10nov10 Added check in fstapi.c for corner case where
936 fstWriterEmitSectionHeader could make a file unusable if.hier
937 is not present.
938 Added more checks in fstapi reader to prevent crashes on
939 malformed files.
940 Add config.h #include to the fstapi.c code.
941 Add detection in vcd2fst for Verilog XL-style VCD identifiers
942 to speed up reading VCD files from those simulators.
943 Speedup in fst writer by ensuring checkpoint is not written
944 to for every fstWriterEmitValueChange call.
945 The --optimize flag now uses fst instead of lxt2 as its
946 default file format use vcd2lxt2 directly if old behavior
947 (e.g., converting flat signal names to hierarchies) is
948 desired.
949 3.3.16 24nov10 Remove unused JError variables and replace with PJE0 macro.
950 Added experimental dynamic alias detection in fst writer if
951 Judy arrays are detected. (Judy not required for reading.)
952 Added Jenkins hash routine to enable dynamic alias detection
953 for when Judy not available.
954 3.3.17 28nov10 Added sanity check in dynamic alias reconstruct routine in
955 FST reader and also fixed bug where alias reconstruction in
956 current blocks doesn't overwrite previous, old block data.
957 3.3.18 24dec10 Added extra allocation in fstWriterEmitValueChange in case
958 users modify the FST_BREAK_ADD_SIZE to a very small value.
959 Fixed in lxt.c that --disable-inline-asm did not propagate
960 into its compile.
961 Fixed x86_64 assembler =q vs =Q problem in lxt.c.
962 Preliminary support for variable length records in FST files.
963 Added fstUtilityBinToEsc and fstUtilityEscToBin for
964 conversion of binary data to C-style strings.
965 Now allow escaped strings in VCD files to encode a richer
966 set of data for non-standard "s" VCD records.
967 To comply with fst2vcd, vcd readers now handle "string"
968 variable type keyword.
969 Scaled back multipler from 95 to 94 for VCD ID processing
970 as !..~ is a distance of 94.
971 Add detection for Verilog XL-style VCD identifiers in all
972 vcd loaders in gtkwave in order to aid in indexing.
973 Added --enable-struct-pack configure flag.
974 More warnings fixes.
975 Fix mif_draw_string so it does not emit escaped character
976 codes.
977 Added gtkwave_server to distro but it is not currently in
978 automake as it is not ready for use.
979 3.3.19 03feb11 Added more NULL pointer checking to vcd2fst to prevent
980 crashes on malformed files.
981 Rewrote support for compressed signal handling. Currently this
982 is for FST only.
983 Modified shmidcat to exit on EOF.
984 Added sys_fst.c VPI source for NC Verilog and XL.
985 Added component typename dumping into sys_fst.c so that NC can
986 dump component names.
987 Added component type names in gtk2 tree. Currently the FST
988 loader is the only one that will populate this field.
989 For 64-bit architectures, doubles are stored in HistEnt fields
990 directly to conserve on memory usage.
991 Fixed top/bottom pane resizing bug after reload in SST window.
992 Fixed crashes in hierarchy search widget for GHW where
993 standard, textio, std_logic_1164, etc. were selectable.
994 Fixed reload scroll position for bottom TreeView in SST window.
995 3.3.20 21feb11 Fixed uninitialized mat variable in compress_facility().
996 Added --slider-zoom option to gtkwave to enable experimental
997 horizontal slider zoom feature (GTK2).
998 Fix vcd2fst so it can handle 0 length VCD event variables in
999 their declarations (MTI).
1000 3.3.21 28apr11 Fixed crash in LXT2 reader on malformed files.
1001 Fixed reload crash when -o flag used on non-VCD files.
1002 3.3.22 03jun11 Optimize tree build so it can handle large amounts of
1003 component instantiations (netlists) without undue slowdown.
1004 Added gcc -Wformat and -Wformat-security related fixes.
1005 Update hier_decompress_flagged so it can also decompress into
1006 its own static buffer in order to speed up temporary usage
1007 cases.
1008 Fix FST reader iterator to work better with --begin flag.
1009 Fixed missing facname decompression for FST files on
1010 single trace import (backup case that should never happen).
1011 Added support for user-specified timescale ruler using the
1012 ruler_origin and ruler_step rc variables.
1013 Added "/View/Define Time Ruler Marks" menu option.
1014 Removed indirect file support as is unneeded for 64-bit.
1015 Removed obsolete CVS modification log comments.
1016 Handle vcd saver case of dot at end of signal name.
1017 3.3.23 01jul11 Fixed ItemFactory callbacks as their argument lists did
1018 not reflect the correct callback argument type/order
1019 for callback_type=1. This is a longstanding hidden
1020 bug. (Would prevent pattern search from working on
1021 64-bit big-endian architectures.)
1022 Fixed broken "replace" signal option.
1023 3.3.24 03aug11 Improve the searching for the TCL libraries (when using
1024 stubs).
1025 Fixed bug where Tcl_GetString was substituted with
1026 brace removal preprocessing when unnecessary (would break
1027 addSignalsFromList, etc.)
1028 3.3.25 15sep11 Replaced calloc_2 with histent_calloc in loaders where
1029 applicable.
1030 Updated tcl.m4 so /usr/lib64 can be automatically used.
1031 Fixed TR_ANALOG_STEP line clipping problem.
1032 Checked in fix for modelsim signal bit nets that are
1033 defined as [0] as some tools emit signals without the
1034 [0] and it causes savefile compatibility problems.
1035 Add visible filter pattern in fileselbox() as well as
1036 selectable "*" pattern overrides.
1037 Added custom filters to GtkFileChooser dialogue.
1038 Fix in lxt2_read.c/.h for negative msb/lsb indices.
1039 Fix in vzt_read.c/.h for negative msb/lsb indices.
1040 3.3.26 25sep11 Mac OSX fixes: removed restrictions for twinwave on OSX,
1041 OSX compile fixes for Tcl detection, printf warning
1042 fixes (xcode gcc uses stricter warnings).
1043 More generic warning fixes from recent feature adds.
1044 3.3.27 20oct11 Fixes of suspicious NULL pointer warnings from scan-build.
1045 Fixed inline function linker errors when using Clang.
1046 Optimization of more [1] cases found in analyzer.h when
1047 -DWAVE_USE_STRUCT_PACKING is active.
1048 In process_url_list() use g_malloc/g_free as context can
1049 or will change when files are loaded.
1050 Added fix for DnD crash when Quartz is the GDK back-end
1051 on Mac OSX. Enable fix with --enable-quartz in configure.
1052 Fixed fstWriterFlushContext() such that invocations
1053 outside the fstapi are synced with time changes.
1054 Modify main window size for twinwave on Quartz: GtkPlug
1055 window does not fit into GtkSocket as with X11.
1056 3.3.28 11nov11 Use larger more readable Apple fonts for Quartz.
1057 Added support for colorful traces using the
1058 /Edit/Color Format/... menu options.
1059 Fixed rendertimes bug where times did not always display
1060 when grid is turned off.
1061 Added keep_xz_colors gtkwaverc variable.
1062 3.3.29 31dec11 << short descriptions >>
1063 Added OSX integration when compiled against gtk-osx.
1064 Added mime types and icons for file types and desktop menus.
1065 Changed .sav (deprecated but not removed) to .gtkw, with
1066 .gtkw itself being able to bring up the original dumpfile.
1067 Numerous bug fixes.
1068 Preliminary GConf support supporting session ID-based restore.
1069 Preliminary GConf support to emulate OSX "open"
1070 functionality such that dumpfiles/savefiles can be targeted
1071 to an open gtkwave viewer / session ID.
1072 << long descriptions >>
1073 Fixed size of declaration of render_mutex_renderopt_c_1 as it
1074 was one element too short.
1075 Added transition code for shifting away from using
1076 GtkItemFactoryEntry (also will help with OSX menubar
1077 integration which expects menu shells).
1078 Added support for native Quartz menu bars.
1079 Removed --enable-quartz as it is auto detected now if
1080 PKG_CHECK_MODULES(GTK_MAC, gtk-mac-integration) is
1081 true and GDK is compiled against Quartz.
1082 Fixed pointer crashes on NULL returned on gtk_entry_get_text()
1083 on OSX/Quartz.
1084 Recommended usage for Quartz is now jhbuild with
1085 gtk-mac-integration, not MacPorts.
1086 Added mac bundle info in contrib/bundle_for_osx.
1087 Added gtkwave_argv0_cached as Open New Window does not work if
1088 "gtkwave" is not in path or is something like gtkwave-bin as
1089 in an OSX bundle.
1090 Detect context swapping in file chooser from DnD to prevent
1091 possible crashes.
1092 Block DnD while file chooser is active.
1093 Migrate OSX to OSX key accelerators.
1094 Fix for tcl code opening the root node.
1095 Fix time warp cmd->control mapping for OSX.
1096 Fix for time warped traces not rendering properly when
1097 x-coordinate overdraw is detected.
1098 Added WAVE_ALLOW_QUARTZ_FLUSH_WORKAROUND temporarily which
1099 needs to be set at compile time which gates redraw.
1100 Add GLOBALS->force_hide_show to force redraws in OSX.
1101 Added osx_timer() which controls forced redraw.
1102 Abort GHW read when nbr_el<0: indicates malformed file from
1103 variable/signal construct of form (7 to 0) rather than downto.
1104 Crash fix for non-string len zero facilities in vcd2fst.
1105 Fixed overflow in draw_hptr_trace_vector_analog().
1106 Added rtlbrowse and vcd2fst binary path finding code to OSX.
1107 Changed ps2pdf to pstopdf on Mac.
1108 Fixed broken wave_script_args.
1109 Reverted to 3.3.26 code in menu_func() as the renderbox
1110 requester did not work from TCL anymore.
1111 Catch NSApplicationOpenFile so files can be opened from Finder.
1112 Added --chdir command line option to support open for OSX so
1113 that gtkwave can be run at the command line directly from its
1114 installed gtkwave.app.
1115 Fix window resizing / repositioning to work in OSX: block once
1116 viewer is on second tab, however.
1117 Added sst_width, sst_vpaned_height, sst_expanded, and
1118 signals_width tags into save file to allow pane size and
1119 expander settings to be saved to the save file.
1120 Add ignore_savefile_pane_pos .gtkwaverc variable.
1121 Added dumpfile tag to save files. OSX Finder uses these to
1122 find the original dumpfile. This can be done from the command
1123 line also by specifying --save but not specifying a dump file.
1124 Added .gtkw as a new save file extension. When either .sav
1125 or .gtkw is encountered, the rest of a tab's session adaptively
1126 follows in expecting it as the save file suffix.
1127 Added [savefile] tag to save files. The intended use is to
1128 allow reconstruction of relative paths between dump and save
1129 file.
1130 Fixed --autosavename to use .gtkw as a suffix rather than the
1131 .sav suffix.
1132 Reworked wave_info and wave_alert icons. wave_info now
1133 matches gtkwave.icns.
1134 Renamed .sav examples to .gtkw.
1135 Added relative path comparisons for --save so when dumpfiles
1136 and savefiles move in tandem, a successful load can be
1137 attempted.
1138 Added [dumpfile_mtime] and [dumpfile_size] tags to save file.
1139 Can now specify just an augmented save file at the command
1140 line and gtkwave will load both the dump file and the save file:
1141 this makes launching from desktops easier on Linux.
1142 Added mime types, desktops, and icons in share/ for gnome.
1143 Added percentage progress during load for most file types
1144 in window title bar when splash screen not active.
1145 Preliminary add of interfacing with GConf via the
1146 /com.geda.gtkwave directory for keys in GConf.
1147 Memory overrun fix to symbol.c for Bluespec add from 3.3.11.
1148 Added --restore command line option to gtkwave.
1149 3.3.30 17jan12 Updated ./configure to add --disable-mime-update flag.
1150 Fix --optimize for --restore.
1151 Add [optimize_vcd] savefile tag.
1152 Disable analog during mutually incompatible mode selection
1153 (binary, filters, etc).
1154 Added F/P/T flags to mouseover for the filters.
1155 Fix problem where ungrab doesn't occur if button pressed +
1156 simultaneous reload accelerator key occurs.
1157 Fix combine direction in transaction filter to down.
1158 Fix vector analog render/print routine to use skipcnt.
1159 Fixed transaction filter to cache hptr node if converted
1160 (i.e., do not place bitblasted in save file if avoidable).
1161 Fixed min/max of cached autoscaling sizing when number of
1162 extension traces changes.
1163 3.3.31 30jan12 Added support for native file requesters in OSX Quartz.
1164 Added support for native alert dialogs in OSX Quartz.
1165 Clang warning fixes.
1166 Added missing config.guess and config.sub.
1167 Allow drag of .gtkw (when viewer still does not have a file
1168 loaded) to load the corresponding dump file.
1169 Fix MinGW compiles broken from recent changes.
1170 Documentation updates.
1171 Fixed broken ifdef in signalwindow.c that degated savefile
1172 loading .gtkw dump+save properly if not gconf2 or Mac.
1173 3.3.32 13feb12 Turn off loader messages when Tcl is executing a command.
1174 Added gtk_print_unix_dialog support for printing to
1175 real printers by using the "UNIX" type.
1176 Automatically kill splash screen on reload/new tab.
1177 Added transaction_args savefile tag and support for passing
1178 args to transaction filters via the args $comment.
1179 Added string value of \000 which renders as high-z.
1180 Integrated alt_wheel_mode code provided by Tom Browne.
1181 Fixes for some rc file variables to keep them from getting
1182 clobbered on 2nd tab opening.
1183 Warning fixes when compiled on Ubuntu.
1184 3.3.33 27feb12 Scan-build fix in vcd_recoder.c.
1185 Added $timezero tag to VCD files which allows offsetting all
1186 the values in a trace to provide ability for negative time
1187 values. Currently only VCD, LXT, LXT2, VZT, and FST support
1188 this.
1189 Fix for timescale 10s and 100s.
1190 3.3.34 12mar12 Fix for marker time deltas when $timezero is used.
1191 Reduced size of alert requester icons to 64x64 pixels.
1192 3.3.35 04apr12 Polarity fix for vcd_preserve_glitches in rcfile. Default
1193 is no/off. Use yes in the rcfile to enable (e.g., for
1194 viewing interpolated analog waveforms).
1195 Added vcd_preserve_glitches support to FST as --optimize
1196 uses FST.
1197 Added vcd_preserve_glitches_real (for VCD/FST) rcfile
1198 variable that turns off deglitching only for real signals.
1199 This removes the need for #define TRACK_AND_HOLD_FIX and
1200 prevents the case where interpolation of an analog waveform
1201 is deformed as significant data points were removed by the
1202 VCD or FST loader.
1203 Fix for do_initial_zoom_fit when file requester used.
1204 Changed contact address for bug reports.
1205 Enable mouseover for MinGW.
1206 Added fstWriterSetParallelMode().
1207 3.3.36 04may12 Fixed destructive string convert in fstUtilityBinToEsc().
1208 Added support for 01xzhuwl- in fst.c callback interface,
1209 vcd2fst.c, and lxt.c.
1210 Added adaptive buffer resizing in FST writer for Linux
1211 and Mac OSX.
1212 Fix for realpath() 2nd argument NULL on Leopard.
1213 Fix for doubles stored in HistEnt fields in ghw
1214 introduced in 3.3.19.
1215 3.3.37 10jun12 Added patch for savefile.c that corrects an issue in which the
1216 parser for process filter lines assumed the associated id
1217 number was always a single digit.
1218 Added patch to bitvec.c catches one more case when locating
1219 bitblasted signals in vcd files created by modelsim.
1220 Fix that kills stray pipeio_create() processes on
1221 pipeio_destroy().
1222 Additions to extload to handle hier types, component types,
1223 and signal types.
1224 Added support for extload files as input filetype in vcd2fst.
1225 Added -o for extload files to convert to FST.
1226 3.3.38 10jul12 Upgrading vermin parser to handle some > 1995 constructs.
1227 Propagate -o option into "Open New Window" menu option.
1228 Change invert function so it does not incorrectly expand into
1229 the whole nybble when it is < 4 bits. That is, inverting the
1230 two bit quantity 10 now displays as 0x1, not 0xD.
1231 Added fstminer.
1232 MinGW warnings fixes.
1233 Fixed relative pathnames for gtkw save files in MinGW.
1234 Fix fstapi reader so it does not leave stray hier tmpfiles
1235 around in MinGW if reader is never closed.
1236 Changed twinwave for MinGW so that it does not target two
1237 panes in a single window. Something is apparently now
1238 broken in the GtkSocket/GtkPlug implementation for Win32.
1239 3.3.39 08aug12 Fixed relative pathnames when generated in MinGW and used
1240 back on Linux.
1241 Added --output filename option to fst2vcd, vzt2vcd, and
1242 lxt2vcd.
1243 Fix crash on OSX if gtk_widget_set_sensitive is called on
1244 a separator.
1245 Fixed OSX version so it looks for .gtkwaverc in the home
1246 directory and if not found, probes the resource bundle for
1247 Contents/Resources/examples/gtkwaverc (no dot in the name).
1248 Added GTKWave User's Guide option to help menu on OSX.
1249 Added + vs ++ separators for twinwave.
1250 Dynamic resize fixes.
1251 3.3.40 10sep12 Fixed y-size of splash screen on MinGW with newest version
1252 of GTK2 (as it could be verified on that version).
1253 Fixed off-by-one buffer string allocation write overflow
1254 in calloc_2() call in maketraces().
1255 3.3.41 30sep12 Fix for gtkwave::addSignalsFromList when encountering
1256 signals of form a.b.MyBus[7:0] and a.b.MyBus[15:8] such
1257 that brackets aren't stripped.
1258 Added experimental highlight_wavewindow rc variable which
1259 allows signals also to be highlighted in the wave window
1260 using the value for color_grid.
1261 Added use_standard_trace_select rc variable and related
1262 menu option.
1263 3.3.42 28nov12 Fix to prevent missing group openings from keeping other
1264 signals in the viewer that follow from displaying.
1265 Adding more support for newer constructs in Vermin.
1266 Added scrollwheel support to rtlbrowse code windows.
1267 Added fseeko() return checking in fstapi.c to prevent
1268 errors with dynamically updated files.
1269 3.3.43 26jan13 Fix for rtlbrowse for gtk_adjustment_get_page_increment and
1270 gtk_adjustment_get_step_increment introduced in 2.14.
1271 Added VPD support via vpd2vcd. To use, specify -o
1272 at the command line. (e.g., gtkwave -o test.vpd)
1273 Added autodetect for LXT, LXT2, VZT, FST regardless of the
1274 filename suffix.
1275 Crash fix for gtkwave::getDisplayedSignals, specifically
1276 removing the extra free_2() in WAVE_OE_ME.
1277 Added conditional compile for stat() being available.
1278 3.3.44 16feb13 gdk_draw_layout assertion `GDK_IS_DRAWABLE (drawable)'
1279 assertion fix.
1280 3.3.45 28feb13 Fix for VCDNAM_ESCAPE character in treesearch window. This
1281 sometimes occurs for structure identifiers.
1282 3.3.46 29apr13 Upgraded to autoconf 2.69.
1283 Fixed as of yet undetected hdr_incomplete bug when running off
1284 end of FST file. (e.g., while file is being written)
1285 Fixed problem with is_gtkw_save_file getting wiped out on
1286 reload.
1287 Updated Mac bundle info to reflect new autoconf filenames.
+0
-216
GNU.TXT less more
0 TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION
1
2 0. This License applies to any program or other work which contains a
3 notice placed by the copyright holder saying it may be distributed under
4 the terms of this General Public License. The "Program", below, refers to
5 any such program or work, and a "work based on the Program" means either
6 the Program or any derivative work under copyright law: that is to say, a
7 work containing the Program or a portion of it, either verbatim or with
8 modifications and/or translated into another language. (Hereinafter,
9 translation is included without limitation in the term "modification".)
10 Each licensee is addressed as "you".
11
12 Activities other than copying, distribution and modification are not
13 covered by this License; they are outside its scope. The act of running
14 the Program is not restricted, and the output from the Program is covered
15 only if its contents constitute a work based on the Program (independent
16 of having been made by running the Program). Whether that is true depends
17 on what the Program does.
18
19 1. You may copy and distribute verbatim copies of the Program's source
20 code as you receive it, in any medium, provided that you conspicuously and
21 appropriately publish on each copy an appropriate copyright notice and
22 disclaimer of warranty; keep intact all the notices that refer to this
23 License and to the absence of any warranty; and give any other recipients
24 of the Program a copy of this License along with the Program.
25
26 You may charge a fee for the physical act of transferring a copy, and you
27 may at your option offer warranty protection in exchange for a fee.
28
29 2. You may modify your copy or copies of the Program or any portion of it,
30 thus forming a work based on the Program, and copy and distribute such
31 modifications or work under the terms of Section 1 above, provided that
32 you also meet all of these conditions:
33
34 a) You must cause the modified files to carry prominent notices
35 stating that you changed the files and the date of any change.
36
37 b) You must cause any work that you distribute or publish, that in
38 whole or in part contains or is derived from the Program or any part
39 thereof, to be licensed as a whole at no charge to all third parties
40 under the terms of this License.
41
42 c) If the modified program normally reads commands interactively
43 when run, you must cause it, when started running for such
44 interactive use in the most ordinary way, to print or display an
45 announcement including an appropriate copyright notice and a
46 notice that there is no warranty (or else, saying that you provide
47 a warranty) and that users may redistribute the program under
48 these conditions, and telling the user how to view a copy of this
49 License. (Exception: if the Program itself is interactive but does
50 not normally print such an announcement, your work based on the
51 Program is not required to print an announcement.)
52
53 These requirements apply to the modified work as a whole. If identifiable
54 sections of that work are not derived from the Program, and can be
55 reasonably considered independent and separate works in themselves, then
56 this License, and its terms, do not apply to those sections when you
57 distribute them as separate works. But when you distribute the same
58 sections as part of a whole which is a work based on the Program, the
59 distribution of the whole must be on the terms of this License, whose
60 permissions for other licensees extend to the entire whole, and thus to
61 each and every part regardless of who wrote it.
62
63 Thus, it is not the intent of this section to claim rights or contest your
64 rights to work written entirely by you; rather, the intent is to exercise
65 the right to control the distribution of derivative or collective works
66 based on the Program.
67
68 In addition, mere aggregation of another work not based on the Program
69 with the Program (or with a work based on the Program) on a volume of a
70 storage or distribution medium does not bring the other work under the
71 scope of this License.
72
73 3. You may copy and distribute the Program (or a work based on it, under
74 Section 2) in object code or executable form under the terms of Sections 1
75 and 2 above provided that you also do one of the following:
76
77 a) Accompany it with the complete corresponding machine-readable
78 source code, which must be distributed under the terms of Sections
79 1 and 2 above on a medium customarily used for software
80 interchange; or,
81
82 b) Accompany it with a written offer, valid for at least three
83 years, to give any third party, for a charge no more than your
84 cost of physically performing source distribution, a complete
85 machine-readable copy of the corresponding source code, to be
86 distributed under the terms of Sections 1 and 2 above on a medium
87 customarily used for software interchange; or,
88
89 c) Accompany it with the information you received as to the offer to
90 distribute corresponding source code. (This alternative is allowed
91 only for noncommercial distribution and only if you received the
92 program in object code or executable form with such an offer, in
93 accord with Subsection b above.)
94
95 The source code for a work means the preferred form of the work for making
96 modifications to it. For an executable work, complete source code means
97 all the source code for all modules it contains, plus any associated
98 interface definition files, plus the scripts used to control compilation
99 and installation of the executable. However, as a special exception, the
100 source code distributed need not include anything that is normally
101 distributed (in either source or binary form) with the major components
102 (compiler, kernel, and so on) of the operating system on which the
103 executable runs, unless that component itself accompanies the executable.
104
105 If distribution of executable or object code is made by offering access to
106 copy from a designated place, then offering equivalent access to copy the
107 source code from the same place counts as distribution of the source code,
108 even though third parties are not compelled to copy the source along with
109 the object code.
110
111 4. You may not copy, modify, sublicense, or distribute the Program except
112 as expressly provided under this License. Any attempt otherwise to copy,
113 modify, sublicense or distribute the Program is void, and will
114 automatically terminate your rights under this License. However, parties
115 who have received copies, or rights, from you under this License will not
116 have their licenses terminated so long as such parties remain in full
117 compliance.
118
119 5. You are not required to accept this License, since you have not signed
120 it. However, nothing else grants you permission to modify or distribute
121 the Program or its derivative works. These actions are prohibited by law
122 if you do not accept this License. Therefore, by modifying or distributing
123 the Program (or any work based on the Program), you indicate your
124 acceptance of this License to do so, and all its terms and conditions for
125 copying, distributing or modifying the Program or works based on it.
126
127 6. Each time you redistribute the Program (or any work based on the
128 Program), the recipient automatically receives a license from the original
129 licensor to copy, distribute or modify the Program subject to these terms
130 and conditions. You may not impose any further restrictions on the
131 recipients' exercise of the rights granted herein. You are not responsible
132 for enforcing compliance by third parties to this License.
133
134 7. If, as a consequence of a court judgment or allegation of patent
135 infringement or for any other reason (not limited to patent issues),
136 conditions are imposed on you (whether by court order, agreement or
137 otherwise) that contradict the conditions of this License, they do not
138 excuse you from the conditions of this License. If you cannot distribute
139 so as to satisfy simultaneously your obligations under this License and
140 any other pertinent obligations, then as a consequence you may not
141 distribute the Program at all. For example, if a patent license would not
142 permit royalty-free redistribution of the Program by all those who receive
143 copies directly or indirectly through you, then the only way you could
144 satisfy both it and this License would be to refrain entirely from
145 distribution of the Program.
146
147 If any portion of this section is held invalid or unenforceable under any
148 particular circumstance, the balance of the section is intended to apply
149 and the section as a whole is intended to apply in other circumstances.
150
151 It is not the purpose of this section to induce you to infringe any
152 patents or other property right claims or to contest validity of any such
153 claims; this section has the sole purpose of protecting the integrity of
154 the free software distribution system, which is implemented by public
155 license practices. Many people have made generous contributions to the
156 wide range of software distributed through that system in reliance on
157 consistent application of that system; it is up to the author/donor to
158 decide if he or she is willing to distribute software through any other
159 system and a licensee cannot impose that choice.
160
161 This section is intended to make thoroughly clear what is believed to be a
162 consequence of the rest of this License.
163
164 8. If the distribution and/or use of the Program is restricted in certain
165 countries either by patents or by copyrighted interfaces, the original
166 copyright holder who places the Program under this License may add an
167 explicit geographical distribution limitation excluding those countries,
168 so that distribution is permitted only in or among countries not thus
169 excluded. In such case, this License incorporates the limitation as if
170 written in the body of this License.
171
172 9. The Free Software Foundation may publish revised and/or new versions of
173 the General Public License from time to time. Such new versions will be
174 similar in spirit to the present version, but may differ in detail to
175 address new problems or concerns.
176
177 Each version is given a distinguishing version number. If the Program
178 specifies a version number of this License which applies to it and "any
179 later version", you have the option of following the terms and conditions
180 either of that version or of any later version published by the Free
181 Software Foundation. If the Program does not specify a version number of
182 this License, you may choose any version ever published by the Free
183 Software Foundation.
184
185 10. If you wish to incorporate parts of the Program into other free
186 programs whose distribution conditions are different, write to the author
187 to ask for permission. For software which is copyrighted by the Free
188 Software Foundation, write to the Free Software Foundation; we sometimes
189 make exceptions for this. Our decision will be guided by the two goals of
190 preserving the free status of all derivatives of our free software and of
191 promoting the sharing and reuse of software generally.
192
193 NO WARRANTY
194
195 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY
196 FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN
197 OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES
198 PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED
199 OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
200 MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS
201 TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE
202 PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING,
203 REPAIR OR CORRECTION.
204
205 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING
206 WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR
207 REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES,
208 INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES
209 ARISING OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT
210 LIMITED TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES
211 SUSTAINED BY YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE
212 WITH ANY OTHER PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN
213 ADVISED OF THE POSSIBILITY OF SUCH DAMAGES.
214
215 END OF TERMS AND CONDITIONS
+0
-168
INSTALL.TXT less more
0 1) Type ./configure
1 2) make
2 3) make install (as root)
3
4 Make sure you copy the .gtkwaverc file to your home directory or to your
5 VCD project directory. It contains the prefs for a good configuration
6 that most people find ergonomic. It is not strictly necessary however.
7
8 [Note: for mingw builds with gtk+-1, you might need a fake gtk-config file like
9 the following..]
10
11 <<snip>>
12 #!/bin/sh
13
14 if [ "$1" == "--libs" ]
15 then
16 echo -L/home/bybell/libs -lgck -lgdk-1.3 -lgimp-1.2 -lgimpi -lgimpui-1.2 -lglib-1.3 -lgmodule-1.3 -lgnu-intl -lgobject-1.3 -lgthread-1.3 -lgtk-1.3 -liconv-1.3 -ljpeg -llibgplugin_a -llibgplugin_b -lpng -lpthread32 -ltiff-lzw -ltiff-nolzw -ltiff
17 fi
18
19 if [ "$1" == "--cflags" ]
20 then
21 echo " -mms-bitfields -I/home/bybell/src/glib -I/home/bybell/src/gtk+/gtk -I/home/bybell/src/gtk+/gdk -I/home/bybell/src/gtk+ "
22 fi
23 <<snip>>
24
25 [Note2: for mingw with gtk+-2, you don't need to do anything except have
26 pkg-config in your PATH however the following note is from Thomas Uhle.]
27
28 Important to know is to compile with CFLAGS=-mms-bitfields in Windows in order to link correctly
29 to the GTK+ dlls. This is how I did configure GTKWave with additional optimisation switches:
30
31 ./configure CFLAGS='-Wall -O3 -mcpu=i686 -mms-bitfields -ffast-math -fstrict-aliasing'
32
33 After that you may just call make the usual way.
34
35
36
37 Note that Ver Structural Verilog Compiler AET files are no longer supported.
38 They have been superceded by LXT. Also note that the AMULET group will be
39 taking over maintenance of the viewer effective immediately.
40
41 -251201ajb bybell@nc.rr.com
42
43
44 AMULET has ceased development of the 2.x branch of the viewer. 1.3
45 apparently is the only active version currently.
46
47 -150405ajb bybell@nc.rr.com
48
49
50 The 1.3 series has been promoted to 3.x in order to prevent confusion over
51 what version of the viewer is the latest. Starting with 3.x, the viewer
52 supports source code annotation.
53
54 -030406ajb bybell@nc.rr.com
55
56
57 Add these flags to your compile for new warnings on AMD64:
58 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m64 -mtune=generic
59
60 on i386:
61 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i386 -mtune=generic -fasynchronous-unwind-tables
62
63 -150407ajb
64
65
66 For cvs access to the experimental, pre-release sourcetree on Sourceforge:
67 cvs -d:pserver:anonymous@gtkwave.cvs.sourceforge.net:/cvsroot/gtkwave login
68 [press enter]
69 cvs -z3 -d:pserver:anonymous@gtkwave.cvs.sourceforge.net:/cvsroot/gtkwave co -P gtkwave3
70
71 -191208ajb bybell@nc.rr.com
72
73
74 CVS is no longer being updated, for SVN access:
75 svn co https://gtkwave.svn.sourceforge.net/svnroot/gtkwave gtkwave
76
77 -310511ajb
78
79 ===============================================================================
80
81 Note (1) For Ubuntu users:
82
83 I had to do the following to get it to install directly. Please
84 include in INSTALL .txt as an option for ubuntu users. Other linux
85 distributions might have other things to do.
86
87 sudo apt-get install libgtk2.0-dev
88 ./configure --with-tcl=/usr/lib/tcl8.4 --with-tk=/usr/lib/tk8.4
89
90 -030109ajb bybell@nc.rr.com
91
92
93 Note (2) For Ubuntu users:
94
95 If your compile fails because gzopen64 cannot be found, you will either have
96 to fix your Ubuntu install or use the version of libz in gtkwave:
97
98 ./configure --enable-local-libz
99
100 -140409ajb bybell@nc.rr.com
101
102 Note (3) For Ubuntu users (version 11.10):
103
104 sudo apt-get install libjudy-dev
105 sudo apt-get install libbz2-dev
106 sudo apt-get install liblzma-dev
107 sudo apt-get install libgconf2-dev
108 sudo apt-get install libgtk2.0-dev
109 sudo apt-get install tcl-dev
110 sudo apt-get install tk-dev
111 sudo apt-get install gperf
112 sudo apt-get install gtk2-engines-pixbuf
113
114 Configure then as:
115
116 ./configure --enable-judy --enable-struct-pack --with-gconf
117
118 -010212ajb bybell@nc.rr.com
119
120 ===============================================================================
121
122 Notes for Mac OSX users:
123
124 Install MacPorts then
125
126 sudo port -v selfupdate
127 sudo port install Judy tcl tk xz-devel gtk2
128
129 If Quartz is used:
130 sudo port install gtk-osx-application
131
132 ./configure --prefix=/opt/local --enable-judy --enable-struct-pack "CFLAGS=-I/opt/local/include -O2 -g" LDFLAGS=-L/opt/local/lib --no-create --no-recursion
133
134 Tcl works in the OSX version of gtkwave starting with version 3.3.26.
135
136 At this point all features working on Linux should be functional on the Mac,
137 except that twinwave does not render to a single window when Quartz is used
138 instead of X11.
139
140 If you wish to use llvm, also add "CC=llvm-gcc" and change the "-O2" in CFLAGS
141 to "-O4".
142
143 At the current time Quartz support is experimental. Please report any bugs
144 encountered as compared to X11 function.
145
146 ===
147
148 Note that the preferred environment for Quartz builds is jhbuild. To build
149 gtkwave as an app bundle (while in jhbuild shell):
150
151 ./configure --enable-judy --enable-struct-pack --prefix=/Users/$USER/gtk/inst
152 make
153 make install
154 cd contrib/bundle_for_osx
155 ./make_bundle.sh
156
157 This assumes that Judy arrays and XZ were both already compiled and installed.
158 If Judy arrays are not installed, do not add --enable-judy.
159 If XZ is not installed, add --disable-xz.
160
161 The current environment used is modulesets. Bug 664894 has an interim fix in
162 the binary distribution by applying patches using the
163 contrib/bundle_for_osx/gtk_diff_against_modulesets.patch file.
164
165 -311211ajb bybell@nc.rr.com
166
167 ===============================================================================
00 ##########################################################################
11
2 GTKWave 3.3.45 Wave Viewer is Copyright (C) 1999-2013 Tony Bybell.
2 GTKWave 3.3.46 Wave Viewer is Copyright (C) 1999-2013 Tony Bybell.
33 Portions of GTKWave are Copyright (C) 1999-2013 Udi Finkelstein.
44 Context support is Copyright (C) 2007-2013 Kermin Elliott Fleming.
55 Trace group support is Copyright (C) 2009-2013 Donald Baltus.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = .
34 DIST_COMMON = $(am__configure_deps) $(srcdir)/Makefile.am \
35 $(srcdir)/Makefile.in $(srcdir)/config.h.in \
36 $(top_srcdir)/configure config.guess config.sub depcomp \
37 install-sh missing ylwrap
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
51 $(top_srcdir)/configure $(am__configure_deps) \
52 $(srcdir)/config.h.in AUTHORS COPYING ChangeLog NEWS README \
53 compile config.guess config.sub depcomp install-sh missing \
54 ylwrap
3855 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3956 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
4057 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4562 CONFIG_HEADER = config.h
4663 CONFIG_CLEAN_FILES =
4764 CONFIG_CLEAN_VPATH_FILES =
65 AM_V_P = $(am__v_P_@AM_V@)
66 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
67 am__v_P_0 = false
68 am__v_P_1 = :
69 AM_V_GEN = $(am__v_GEN_@AM_V@)
70 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
71 am__v_GEN_0 = @echo " GEN " $@;
72 am__v_GEN_1 =
73 AM_V_at = $(am__v_at_@AM_V@)
74 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
75 am__v_at_0 = @
76 am__v_at_1 =
4877 SOURCES =
4978 DIST_SOURCES =
50 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
51 html-recursive info-recursive install-data-recursive \
52 install-dvi-recursive install-exec-recursive \
53 install-html-recursive install-info-recursive \
54 install-pdf-recursive install-ps-recursive install-recursive \
55 installcheck-recursive installdirs-recursive pdf-recursive \
56 ps-recursive uninstall-recursive
79 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
80 ctags-recursive dvi-recursive html-recursive info-recursive \
81 install-data-recursive install-dvi-recursive \
82 install-exec-recursive install-html-recursive \
83 install-info-recursive install-pdf-recursive \
84 install-ps-recursive install-recursive installcheck-recursive \
85 installdirs-recursive pdf-recursive ps-recursive \
86 tags-recursive uninstall-recursive
87 am__can_run_installinfo = \
88 case $$AM_UPDATE_INFO_DIR in \
89 n|no|NO) false;; \
90 *) (install-info --version) >/dev/null 2>&1;; \
91 esac
5792 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5893 distclean-recursive maintainer-clean-recursive
59 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
60 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
61 distdir dist dist-all distcheck
94 am__recursive_targets = \
95 $(RECURSIVE_TARGETS) \
96 $(RECURSIVE_CLEAN_TARGETS) \
97 $(am__extra_recursive_targets)
98 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
99 cscope distdir dist dist-all distcheck
100 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) \
101 $(LISP)config.h.in
102 # Read a list of newline-separated strings from the standard input,
103 # and print each of them once, without duplicates. Input order is
104 # *not* preserved.
105 am__uniquify_input = $(AWK) '\
106 BEGIN { nonempty = 0; } \
107 { items[$$0] = 1; nonempty = 1; } \
108 END { if (nonempty) { for (i in items) print i; }; } \
109 '
110 # Make sure the list of sources is unique. This is necessary because,
111 # e.g., the same source file might be shared among _SOURCES variables
112 # for different programs/libraries.
113 am__define_uniq_tagged_files = \
114 list='$(am__tagged_files)'; \
115 unique=`for i in $$list; do \
116 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
117 done | $(am__uniquify_input)`
62118 ETAGS = etags
63119 CTAGS = ctags
120 CSCOPE = cscope
64121 DIST_SUBDIRS = $(SUBDIRS)
65122 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
66123 distdir = $(PACKAGE)-$(VERSION)
67124 top_distdir = $(distdir)
68125 am__remove_distdir = \
69 { test ! -d "$(distdir)" \
70 || { find "$(distdir)" -type d ! -perm -200 -exec chmod u+w {} ';' \
71 && rm -fr "$(distdir)"; }; }
126 if test -d "$(distdir)"; then \
127 find "$(distdir)" -type d ! -perm -200 -exec chmod u+w {} ';' \
128 && rm -rf "$(distdir)" \
129 || { sleep 5 && rm -rf "$(distdir)"; }; \
130 else :; fi
131 am__post_remove_distdir = $(am__remove_distdir)
72132 am__relativize = \
73133 dir0=`pwd`; \
74134 sed_first='s,^\([^/]*\)/.*$$,\1,'; \
96156 reldir="$$dir2"
97157 DIST_ARCHIVES = $(distdir).tar.gz
98158 GZIP_ENV = --best
159 DIST_TARGETS = dist-gzip
99160 distuninstallcheck_listfiles = find . -type f -print
161 am__distuninstallcheck_listfiles = $(distuninstallcheck_listfiles) \
162 | sed 's|^\./|$(prefix)/|' | grep -v '$(infodir)/dir$$'
100163 distcleancheck_listfiles = find . -type f -print
101164 ACLOCAL = @ACLOCAL@
102165 AET2_CFLAGS = @AET2_CFLAGS@
103166 AET2_LDADD = @AET2_LDADD@
104167 ALLOCA = @ALLOCA@
105168 AMTAR = @AMTAR@
169 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
106170 AUTOCONF = @AUTOCONF@
107171 AUTOHEADER = @AUTOHEADER@
108172 AUTOMAKE = @AUTOMAKE@
171235 PACKAGE_NAME = @PACKAGE_NAME@
172236 PACKAGE_STRING = @PACKAGE_STRING@
173237 PACKAGE_TARNAME = @PACKAGE_TARNAME@
238 PACKAGE_URL = @PACKAGE_URL@
174239 PACKAGE_VERSION = @PACKAGE_VERSION@
175240 PATH_SEPARATOR = @PATH_SEPARATOR@
176241 PKG_CONFIG = @PKG_CONFIG@
243308 $(MAKE) $(AM_MAKEFLAGS) all-recursive
244309
245310 .SUFFIXES:
246 am--refresh:
311 am--refresh: Makefile
247312 @:
248313 $(srcdir)/Makefile.in: @MAINTAINER_MODE_TRUE@ $(srcdir)/Makefile.am $(am__configure_deps)
249314 @for dep in $?; do \
279344 $(am__aclocal_m4_deps):
280345
281346 config.h: stamp-h1
282 @if test ! -f $@; then \
283 rm -f stamp-h1; \
284 $(MAKE) $(AM_MAKEFLAGS) stamp-h1; \
285 else :; fi
347 @if test ! -f $@; then rm -f stamp-h1; else :; fi
348 @if test ! -f $@; then $(MAKE) $(AM_MAKEFLAGS) stamp-h1; else :; fi
286349
287350 stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
288351 @rm -f stamp-h1
296359 -rm -f config.h stamp-h1
297360
298361 # This directory's subdirectories are mostly independent; you can cd
299 # into them and run `make' without going through this Makefile.
300 # To change the values of `make' variables: instead of editing Makefiles,
301 # (1) if the variable is set in `config.status', edit `config.status'
302 # (which will cause the Makefiles to be regenerated when you run `make');
303 # (2) otherwise, pass the desired values on the `make' command line.
304 $(RECURSIVE_TARGETS):
362 # into them and run 'make' without going through this Makefile.
363 # To change the values of 'make' variables: instead of editing Makefiles,
364 # (1) if the variable is set in 'config.status', edit 'config.status'
365 # (which will cause the Makefiles to be regenerated when you run 'make');
366 # (2) otherwise, pass the desired values on the 'make' command line.
367 $(am__recursive_targets):
305368 @fail= failcom='exit 1'; \
306369 for f in x $$MAKEFLAGS; do \
307370 case $$f in \
311374 done; \
312375 dot_seen=no; \
313376 target=`echo $@ | sed s/-recursive//`; \
314 list='$(SUBDIRS)'; for subdir in $$list; do \
377 case "$@" in \
378 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
379 *) list='$(SUBDIRS)' ;; \
380 esac; \
381 for subdir in $$list; do \
315382 echo "Making $$target in $$subdir"; \
316383 if test "$$subdir" = "."; then \
317384 dot_seen=yes; \
326393 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
327394 fi; test -z "$$fail"
328395
329 $(RECURSIVE_CLEAN_TARGETS):
330 @fail= failcom='exit 1'; \
331 for f in x $$MAKEFLAGS; do \
332 case $$f in \
333 *=* | --[!k]*);; \
334 *k*) failcom='fail=yes';; \
335 esac; \
336 done; \
337 dot_seen=no; \
338 case "$@" in \
339 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
340 *) list='$(SUBDIRS)' ;; \
341 esac; \
342 rev=''; for subdir in $$list; do \
343 if test "$$subdir" = "."; then :; else \
344 rev="$$subdir $$rev"; \
345 fi; \
346 done; \
347 rev="$$rev ."; \
348 target=`echo $@ | sed s/-recursive//`; \
349 for subdir in $$rev; do \
350 echo "Making $$target in $$subdir"; \
351 if test "$$subdir" = "."; then \
352 local_target="$$target-am"; \
353 else \
354 local_target="$$target"; \
355 fi; \
356 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
357 || eval $$failcom; \
358 done && test -z "$$fail"
359 tags-recursive:
360 list='$(SUBDIRS)'; for subdir in $$list; do \
361 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
362 done
363 ctags-recursive:
364 list='$(SUBDIRS)'; for subdir in $$list; do \
365 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
366 done
367
368 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
369 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
370 unique=`for i in $$list; do \
371 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
372 done | \
373 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
374 END { if (nonempty) { for (i in files) print i; }; }'`; \
375 mkid -fID $$unique
376 tags: TAGS
377
378 TAGS: tags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
379 $(TAGS_FILES) $(LISP)
396 ID: $(am__tagged_files)
397 $(am__define_uniq_tagged_files); mkid -fID $$unique
398 tags: tags-recursive
399 TAGS: tags
400
401 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
380402 set x; \
381403 here=`pwd`; \
382404 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
392414 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
393415 fi; \
394416 done; \
395 list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
396 unique=`for i in $$list; do \
397 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
398 done | \
399 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
400 END { if (nonempty) { for (i in files) print i; }; }'`; \
417 $(am__define_uniq_tagged_files); \
401418 shift; \
402419 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
403420 test -n "$$unique" || unique=$$empty_fix; \
409426 $$unique; \
410427 fi; \
411428 fi
412 ctags: CTAGS
413 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
414 $(TAGS_FILES) $(LISP)
415 list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
416 unique=`for i in $$list; do \
417 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
418 done | \
419 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
420 END { if (nonempty) { for (i in files) print i; }; }'`; \
429 ctags: ctags-recursive
430
431 CTAGS: ctags
432 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
433 $(am__define_uniq_tagged_files); \
421434 test -z "$(CTAGS_ARGS)$$unique" \
422435 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
423436 $$unique
426439 here=`$(am__cd) $(top_builddir) && pwd` \
427440 && $(am__cd) $(top_srcdir) \
428441 && gtags -i $(GTAGS_ARGS) "$$here"
442 cscope: cscope.files
443 test ! -s cscope.files \
444 || $(CSCOPE) -b -q $(AM_CSCOPEFLAGS) $(CSCOPEFLAGS) -i cscope.files $(CSCOPE_ARGS)
445 clean-cscope:
446 -rm -f cscope.files
447 cscope.files: clean-cscope cscopelist
448 cscopelist: cscopelist-recursive
449
450 cscopelist-am: $(am__tagged_files)
451 list='$(am__tagged_files)'; \
452 case "$(srcdir)" in \
453 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
454 *) sdir=$(subdir)/$(srcdir) ;; \
455 esac; \
456 for i in $$list; do \
457 if test -f "$$i"; then \
458 echo "$(subdir)/$$i"; \
459 else \
460 echo "$$sdir/$$i"; \
461 fi; \
462 done >> $(top_builddir)/cscope.files
429463
430464 distclean-tags:
431465 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
466 -rm -f cscope.out cscope.in.out cscope.po.out cscope.files
432467
433468 distdir: $(DISTFILES)
434469 $(am__remove_distdir)
464499 done
465500 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
466501 if test "$$subdir" = .; then :; else \
467 test -d "$(distdir)/$$subdir" \
468 || $(MKDIR_P) "$(distdir)/$$subdir" \
469 || exit 1; \
470 fi; \
471 done
472 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
473 if test "$$subdir" = .; then :; else \
502 $(am__make_dryrun) \
503 || test -d "$(distdir)/$$subdir" \
504 || $(MKDIR_P) "$(distdir)/$$subdir" \
505 || exit 1; \
474506 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
475507 $(am__relativize); \
476508 new_distdir=$$reldir; \
499531 || chmod -R a+r "$(distdir)"
500532 dist-gzip: distdir
501533 tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
502 $(am__remove_distdir)
534 $(am__post_remove_distdir)
503535
504536 dist-bzip2: distdir
505 tardir=$(distdir) && $(am__tar) | bzip2 -9 -c >$(distdir).tar.bz2
506 $(am__remove_distdir)
507
508 dist-lzma: distdir
509 tardir=$(distdir) && $(am__tar) | lzma -9 -c >$(distdir).tar.lzma
510 $(am__remove_distdir)
537 tardir=$(distdir) && $(am__tar) | BZIP2=$${BZIP2--9} bzip2 -c >$(distdir).tar.bz2
538 $(am__post_remove_distdir)
539
540 dist-lzip: distdir
541 tardir=$(distdir) && $(am__tar) | lzip -c $${LZIP_OPT--9} >$(distdir).tar.lz
542 $(am__post_remove_distdir)
511543
512544 dist-xz: distdir
513 tardir=$(distdir) && $(am__tar) | xz -c >$(distdir).tar.xz
514 $(am__remove_distdir)
545 tardir=$(distdir) && $(am__tar) | XZ_OPT=$${XZ_OPT--e} xz -c >$(distdir).tar.xz
546 $(am__post_remove_distdir)
515547
516548 dist-tarZ: distdir
517549 tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z
518 $(am__remove_distdir)
550 $(am__post_remove_distdir)
519551
520552 dist-shar: distdir
521553 shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz
522 $(am__remove_distdir)
554 $(am__post_remove_distdir)
523555
524556 dist-zip: distdir
525557 -rm -f $(distdir).zip
526558 zip -rq $(distdir).zip $(distdir)
527 $(am__remove_distdir)
528
529 dist dist-all: distdir
530 tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
531 $(am__remove_distdir)
559 $(am__post_remove_distdir)
560
561 dist dist-all:
562 $(MAKE) $(AM_MAKEFLAGS) $(DIST_TARGETS) am__post_remove_distdir='@:'
563 $(am__post_remove_distdir)
532564
533565 # This target untars the dist file and tries a VPATH configuration. Then
534566 # it guarantees that the distribution is self-contained by making another
539571 GZIP=$(GZIP_ENV) gzip -dc $(distdir).tar.gz | $(am__untar) ;;\
540572 *.tar.bz2*) \
541573 bzip2 -dc $(distdir).tar.bz2 | $(am__untar) ;;\
542 *.tar.lzma*) \
543 lzma -dc $(distdir).tar.lzma | $(am__untar) ;;\
574 *.tar.lz*) \
575 lzip -dc $(distdir).tar.lz | $(am__untar) ;;\
544576 *.tar.xz*) \
545577 xz -dc $(distdir).tar.xz | $(am__untar) ;;\
546578 *.tar.Z*) \
550582 *.zip*) \
551583 unzip $(distdir).zip ;;\
552584 esac
553 chmod -R a-w $(distdir); chmod a+w $(distdir)
554 mkdir $(distdir)/_build
555 mkdir $(distdir)/_inst
585 chmod -R a-w $(distdir)
586 chmod u+w $(distdir)
587 mkdir $(distdir)/_build $(distdir)/_inst
556588 chmod a-w $(distdir)
557589 test -d $(distdir)/_build || exit 0; \
558590 dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
560592 && am__cwd=`pwd` \
561593 && $(am__cd) $(distdir)/_build \
562594 && ../configure --srcdir=.. --prefix="$$dc_install_base" \
595 $(AM_DISTCHECK_CONFIGURE_FLAGS) \
563596 $(DISTCHECK_CONFIGURE_FLAGS) \
564597 && $(MAKE) $(AM_MAKEFLAGS) \
565598 && $(MAKE) $(AM_MAKEFLAGS) dvi \
583616 && $(MAKE) $(AM_MAKEFLAGS) distcleancheck \
584617 && cd "$$am__cwd" \
585618 || exit 1
586 $(am__remove_distdir)
619 $(am__post_remove_distdir)
587620 @(echo "$(distdir) archives ready for distribution: "; \
588621 list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \
589622 sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x'
590623 distuninstallcheck:
591 @$(am__cd) '$(distuninstallcheck_dir)' \
592 && test `$(distuninstallcheck_listfiles) | wc -l` -le 1 \
624 @test -n '$(distuninstallcheck_dir)' || { \
625 echo 'ERROR: trying to run $@ with an empty' \
626 '$$(distuninstallcheck_dir)' >&2; \
627 exit 1; \
628 }; \
629 $(am__cd) '$(distuninstallcheck_dir)' || { \
630 echo 'ERROR: cannot chdir into $(distuninstallcheck_dir)' >&2; \
631 exit 1; \
632 }; \
633 test `$(am__distuninstallcheck_listfiles) | wc -l` -eq 0 \
593634 || { echo "ERROR: files left after uninstall:" ; \
594635 if test -n "$(DESTDIR)"; then \
595636 echo " (check DESTDIR support)"; \
620661
621662 installcheck: installcheck-recursive
622663 install-strip:
623 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
624 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
625 `test -z '$(STRIP)' || \
626 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
664 if test -z '$(STRIP)'; then \
665 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
666 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
667 install; \
668 else \
669 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
670 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
671 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
672 fi
627673 mostlyclean-generic:
628674
629675 clean-generic:
704750
705751 uninstall-am:
706752
707 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) all \
708 ctags-recursive install-am install-strip tags-recursive
709
710 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
711 all all-am am--refresh check check-am clean clean-generic \
712 ctags ctags-recursive dist dist-all dist-bzip2 dist-gzip \
713 dist-lzma dist-shar dist-tarZ dist-xz dist-zip distcheck \
714 distclean distclean-generic distclean-hdr distclean-tags \
715 distcleancheck distdir distuninstallcheck dvi dvi-am html \
716 html-am info info-am install install-am install-data \
717 install-data-am install-dvi install-dvi-am install-exec \
718 install-exec-am install-html install-html-am install-info \
719 install-info-am install-man install-pdf install-pdf-am \
720 install-ps install-ps-am install-strip installcheck \
721 installcheck-am installdirs installdirs-am maintainer-clean \
722 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
723 pdf-am ps ps-am tags tags-recursive uninstall uninstall-am
753 .MAKE: $(am__recursive_targets) all install-am install-strip
754
755 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am \
756 am--refresh check check-am clean clean-cscope clean-generic \
757 cscope cscopelist-am ctags ctags-am dist dist-all dist-bzip2 \
758 dist-gzip dist-lzip dist-shar dist-tarZ dist-xz dist-zip \
759 distcheck distclean distclean-generic distclean-hdr \
760 distclean-tags distcleancheck distdir distuninstallcheck dvi \
761 dvi-am html html-am info info-am install install-am \
762 install-data install-data-am install-dvi install-dvi-am \
763 install-exec install-exec-am install-html install-html-am \
764 install-info install-info-am install-man install-pdf \
765 install-pdf-am install-ps install-ps-am install-strip \
766 installcheck installcheck-am installdirs installdirs-am \
767 maintainer-clean maintainer-clean-generic mostlyclean \
768 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
769 uninstall-am
724770
725771
726772 # Tell versions [3.59,3.63) of GNU make to not export all variables.
(New empty file)
0 1) Type ./configure
1 2) make
2 3) make install (as root)
3
4 Make sure you copy the .gtkwaverc file to your home directory or to your
5 VCD project directory. It contains the prefs for a good configuration
6 that most people find ergonomic. It is not strictly necessary however.
7
8 [Note: for mingw builds with gtk+-1, you might need a fake gtk-config file like
9 the following..]
10
11 <<snip>>
12 #!/bin/sh
13
14 if [ "$1" == "--libs" ]
15 then
16 echo -L/home/bybell/libs -lgck -lgdk-1.3 -lgimp-1.2 -lgimpi -lgimpui-1.2 -lglib-1.3 -lgmodule-1.3 -lgnu-intl -lgobject-1.3 -lgthread-1.3 -lgtk-1.3 -liconv-1.3 -ljpeg -llibgplugin_a -llibgplugin_b -lpng -lpthread32 -ltiff-lzw -ltiff-nolzw -ltiff
17 fi
18
19 if [ "$1" == "--cflags" ]
20 then
21 echo " -mms-bitfields -I/home/bybell/src/glib -I/home/bybell/src/gtk+/gtk -I/home/bybell/src/gtk+/gdk -I/home/bybell/src/gtk+ "
22 fi
23 <<snip>>
24
25 [Note2: for mingw with gtk+-2, you don't need to do anything except have
26 pkg-config in your PATH however the following note is from Thomas Uhle.]
27
28 Important to know is to compile with CFLAGS=-mms-bitfields in Windows in order to link correctly
29 to the GTK+ dlls. This is how I did configure GTKWave with additional optimisation switches:
30
31 ./configure CFLAGS='-Wall -O3 -mcpu=i686 -mms-bitfields -ffast-math -fstrict-aliasing'
32
33 After that you may just call make the usual way.
34
35
36
37 Note that Ver Structural Verilog Compiler AET files are no longer supported.
38 They have been superceded by LXT. Also note that the AMULET group will be
39 taking over maintenance of the viewer effective immediately.
40
41 -251201ajb bybell@nc.rr.com
42
43
44 AMULET has ceased development of the 2.x branch of the viewer. 1.3
45 apparently is the only active version currently.
46
47 -150405ajb bybell@nc.rr.com
48
49
50 The 1.3 series has been promoted to 3.x in order to prevent confusion over
51 what version of the viewer is the latest. Starting with 3.x, the viewer
52 supports source code annotation.
53
54 -030406ajb bybell@nc.rr.com
55
56
57 Add these flags to your compile for new warnings on AMD64:
58 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m64 -mtune=generic
59
60 on i386:
61 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i386 -mtune=generic -fasynchronous-unwind-tables
62
63 -150407ajb
64
65
66 For cvs access to the experimental, pre-release sourcetree on Sourceforge:
67 cvs -d:pserver:anonymous@gtkwave.cvs.sourceforge.net:/cvsroot/gtkwave login
68 [press enter]
69 cvs -z3 -d:pserver:anonymous@gtkwave.cvs.sourceforge.net:/cvsroot/gtkwave co -P gtkwave3
70
71 -191208ajb bybell@nc.rr.com
72
73
74 CVS is no longer being updated, for SVN access:
75 svn co https://gtkwave.svn.sourceforge.net/svnroot/gtkwave gtkwave
76
77 -310511ajb
78
79 ===============================================================================
80
81 Note (1) For Ubuntu users:
82
83 I had to do the following to get it to install directly. Please
84 include in INSTALL .txt as an option for ubuntu users. Other linux
85 distributions might have other things to do.
86
87 sudo apt-get install libgtk2.0-dev
88 ./configure --with-tcl=/usr/lib/tcl8.4 --with-tk=/usr/lib/tk8.4
89
90 -030109ajb bybell@nc.rr.com
91
92
93 Note (2) For Ubuntu users:
94
95 If your compile fails because gzopen64 cannot be found, you will either have
96 to fix your Ubuntu install or use the version of libz in gtkwave:
97
98 ./configure --enable-local-libz
99
100 -140409ajb bybell@nc.rr.com
101
102 Note (3) For Ubuntu users (version 11.10):
103
104 sudo apt-get install libjudy-dev
105 sudo apt-get install libbz2-dev
106 sudo apt-get install liblzma-dev
107 sudo apt-get install libgconf2-dev
108 sudo apt-get install libgtk2.0-dev
109 sudo apt-get install tcl-dev
110 sudo apt-get install tk-dev
111 sudo apt-get install gperf
112 sudo apt-get install gtk2-engines-pixbuf
113
114 Configure then as:
115
116 ./configure --enable-judy --enable-struct-pack --with-gconf
117
118 -010212ajb bybell@nc.rr.com
119
120 ===============================================================================
121
122 Notes for Mac OSX users:
123
124 Install MacPorts then
125
126 sudo port -v selfupdate
127 sudo port install Judy tcl tk xz-devel gtk2
128
129 If Quartz is used:
130 sudo port install gtk-osx-application
131
132 ./configure --prefix=/opt/local --enable-judy --enable-struct-pack "CFLAGS=-I/opt/local/include -O2 -g" LDFLAGS=-L/opt/local/lib --no-create --no-recursion
133
134 Tcl works in the OSX version of gtkwave starting with version 3.3.26.
135
136 At this point all features working on Linux should be functional on the Mac,
137 except that twinwave does not render to a single window when Quartz is used
138 instead of X11.
139
140 If you wish to use llvm, also add "CC=llvm-gcc" and change the "-O2" in CFLAGS
141 to "-O4".
142
143 At the current time Quartz support is experimental. Please report any bugs
144 encountered as compared to X11 function.
145
146 ===
147
148 Note that the preferred environment for Quartz builds is jhbuild. To build
149 gtkwave as an app bundle (while in jhbuild shell):
150
151 ./configure --enable-judy --enable-struct-pack --prefix=/Users/$USER/gtk/inst
152 make
153 make install
154 cd contrib/bundle_for_osx
155 ./make_bundle.sh
156
157 This assumes that Judy arrays and XZ were both already compiled and installed.
158 If Judy arrays are not installed, do not add --enable-judy.
159 If XZ is not installed, add --disable-xz.
160
161 The current environment used is modulesets. Bug 664894 has an interim fix in
162 the binary distribution by applying patches using the
163 contrib/bundle_for_osx/gtk_diff_against_modulesets.patch file.
164
165 -311211ajb bybell@nc.rr.com
166
167 ===============================================================================
0 # generated automatically by aclocal 1.11.1 -*- Autoconf -*-
1
2 # Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
3 # 2005, 2006, 2007, 2008, 2009 Free Software Foundation, Inc.
0 # generated automatically by aclocal 1.13.1 -*- Autoconf -*-
1
2 # Copyright (C) 1996-2012 Free Software Foundation, Inc.
3
44 # This file is free software; the Free Software Foundation
55 # gives unlimited permission to copy and/or distribute it,
66 # with or without modifications, as long as this notice is preserved.
1010 # even the implied warranty of MERCHANTABILITY or FITNESS FOR A
1111 # PARTICULAR PURPOSE.
1212
13 m4_ifndef([AC_CONFIG_MACRO_DIRS], [m4_defun([_AM_CONFIG_MACRO_DIRS], [])m4_defun([AC_CONFIG_MACRO_DIRS], [_AM_CONFIG_MACRO_DIRS($@)])])
1314 m4_ifndef([AC_AUTOCONF_VERSION],
1415 [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
15 m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.63],,
16 [m4_warning([this file was generated for autoconf 2.63.
16 m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.69],,
17 [m4_warning([this file was generated for autoconf 2.69.
1718 You have another version of autoconf. It may work, but is not guaranteed to.
1819 If you have problems, you may need to regenerate the build system entirely.
19 To do so, use the procedure documented by the package, typically `autoreconf'.])])
20 To do so, use the procedure documented by the package, typically 'autoreconf'.])])
2021
2122 # pkg.m4 - Macros to locate and utilise pkg-config. -*- Autoconf -*-
2223 #
174175 fi[]dnl
175176 ])# PKG_CHECK_MODULES
176177
177 # Copyright (C) 2002, 2003, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
178 # Copyright (C) 2002-2013 Free Software Foundation, Inc.
178179 #
179180 # This file is free software; the Free Software Foundation
180181 # gives unlimited permission to copy and/or distribute it,
186187 # generated from the m4 files accompanying Automake X.Y.
187188 # (This private macro should not be called outside this file.)
188189 AC_DEFUN([AM_AUTOMAKE_VERSION],
189 [am__api_version='1.11'
190 [am__api_version='1.13'
190191 dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to
191192 dnl require some minimum version. Point them to the right macro.
192 m4_if([$1], [1.11.1], [],
193 m4_if([$1], [1.13.1], [],
193194 [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl
194195 ])
195196
205206 # Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced.
206207 # This function is AC_REQUIREd by AM_INIT_AUTOMAKE.
207208 AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION],
208 [AM_AUTOMAKE_VERSION([1.11.1])dnl
209 [AM_AUTOMAKE_VERSION([1.13.1])dnl
209210 m4_ifndef([AC_AUTOCONF_VERSION],
210211 [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
211212 _AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))])
212213
213214 # AM_AUX_DIR_EXPAND -*- Autoconf -*-
214215
215 # Copyright (C) 2001, 2003, 2005 Free Software Foundation, Inc.
216 # Copyright (C) 2001-2013 Free Software Foundation, Inc.
216217 #
217218 # This file is free software; the Free Software Foundation
218219 # gives unlimited permission to copy and/or distribute it,
219220 # with or without modifications, as long as this notice is preserved.
220221
221222 # For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets
222 # $ac_aux_dir to `$srcdir/foo'. In other projects, it is set to
223 # `$srcdir', `$srcdir/..', or `$srcdir/../..'.
223 # $ac_aux_dir to '$srcdir/foo'. In other projects, it is set to
224 # '$srcdir', '$srcdir/..', or '$srcdir/../..'.
224225 #
225226 # Of course, Automake must honor this variable whenever it calls a
226227 # tool from the auxiliary directory. The problem is that $srcdir (and
239240 #
240241 # The reason of the latter failure is that $top_srcdir and $ac_aux_dir
241242 # are both prefixed by $srcdir. In an in-source build this is usually
242 # harmless because $srcdir is `.', but things will broke when you
243 # harmless because $srcdir is '.', but things will broke when you
243244 # start a VPATH build or use an absolute $srcdir.
244245 #
245246 # So we could use something similar to $top_srcdir/$ac_aux_dir/missing,
265266
266267 # AM_CONDITIONAL -*- Autoconf -*-
267268
268 # Copyright (C) 1997, 2000, 2001, 2003, 2004, 2005, 2006, 2008
269 # Free Software Foundation, Inc.
270 #
271 # This file is free software; the Free Software Foundation
272 # gives unlimited permission to copy and/or distribute it,
273 # with or without modifications, as long as this notice is preserved.
274
275 # serial 9
269 # Copyright (C) 1997-2013 Free Software Foundation, Inc.
270 #
271 # This file is free software; the Free Software Foundation
272 # gives unlimited permission to copy and/or distribute it,
273 # with or without modifications, as long as this notice is preserved.
276274
277275 # AM_CONDITIONAL(NAME, SHELL-CONDITION)
278276 # -------------------------------------
279277 # Define a conditional.
280278 AC_DEFUN([AM_CONDITIONAL],
281 [AC_PREREQ(2.52)dnl
282 ifelse([$1], [TRUE], [AC_FATAL([$0: invalid condition: $1])],
283 [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
279 [AC_PREREQ([2.52])dnl
280 m4_if([$1], [TRUE], [AC_FATAL([$0: invalid condition: $1])],
281 [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
284282 AC_SUBST([$1_TRUE])dnl
285283 AC_SUBST([$1_FALSE])dnl
286284 _AM_SUBST_NOTMAKE([$1_TRUE])dnl
299297 Usually this means the macro was only invoked conditionally.]])
300298 fi])])
301299
302 # Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2009
303 # Free Software Foundation, Inc.
304 #
305 # This file is free software; the Free Software Foundation
306 # gives unlimited permission to copy and/or distribute it,
307 # with or without modifications, as long as this notice is preserved.
308
309 # serial 10
310
311 # There are a few dirty hacks below to avoid letting `AC_PROG_CC' be
300 # Copyright (C) 1999-2013 Free Software Foundation, Inc.
301 #
302 # This file is free software; the Free Software Foundation
303 # gives unlimited permission to copy and/or distribute it,
304 # with or without modifications, as long as this notice is preserved.
305
306
307 # There are a few dirty hacks below to avoid letting 'AC_PROG_CC' be
312308 # written in clear, in which case automake, when reading aclocal.m4,
313309 # will think it sees a *use*, and therefore will trigger all it's
314310 # C support machinery. Also note that it means that autoscan, seeing
318314 # _AM_DEPENDENCIES(NAME)
319315 # ----------------------
320316 # See how the compiler implements dependency checking.
321 # NAME is "CC", "CXX", "GCJ", or "OBJC".
317 # NAME is "CC", "CXX", "OBJC", "OBJCXX", "UPC", or "GJC".
322318 # We try a few techniques and use that to set a single cache variable.
323319 #
324320 # We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was
331327 AC_REQUIRE([AM_MAKE_INCLUDE])dnl
332328 AC_REQUIRE([AM_DEP_TRACK])dnl
333329
334 ifelse([$1], CC, [depcc="$CC" am_compiler_list=],
335 [$1], CXX, [depcc="$CXX" am_compiler_list=],
336 [$1], OBJC, [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
337 [$1], UPC, [depcc="$UPC" am_compiler_list=],
338 [$1], GCJ, [depcc="$GCJ" am_compiler_list='gcc3 gcc'],
339 [depcc="$$1" am_compiler_list=])
330 m4_if([$1], [CC], [depcc="$CC" am_compiler_list=],
331 [$1], [CXX], [depcc="$CXX" am_compiler_list=],
332 [$1], [OBJC], [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
333 [$1], [OBJCXX], [depcc="$OBJCXX" am_compiler_list='gcc3 gcc'],
334 [$1], [UPC], [depcc="$UPC" am_compiler_list=],
335 [$1], [GCJ], [depcc="$GCJ" am_compiler_list='gcc3 gcc'],
336 [depcc="$$1" am_compiler_list=])
340337
341338 AC_CACHE_CHECK([dependency style of $depcc],
342339 [am_cv_$1_dependencies_compiler_type],
344341 # We make a subdir and do the tests there. Otherwise we can end up
345342 # making bogus files that we don't know about and never remove. For
346343 # instance it was reported that on HP-UX the gcc test will end up
347 # making a dummy file named `D' -- because `-MD' means `put the output
348 # in D'.
344 # making a dummy file named 'D' -- because '-MD' means "put the output
345 # in D".
346 rm -rf conftest.dir
349347 mkdir conftest.dir
350348 # Copy depcomp to subdir because otherwise we won't find it if we're
351349 # using a relative directory.
384382 : > sub/conftest.c
385383 for i in 1 2 3 4 5 6; do
386384 echo '#include "conftst'$i'.h"' >> sub/conftest.c
387 # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
388 # Solaris 8's {/usr,}/bin/sh.
389 touch sub/conftst$i.h
385 # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with
386 # Solaris 10 /bin/sh.
387 echo '/* dummy */' > sub/conftst$i.h
390388 done
391389 echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
392390
393 # We check with `-c' and `-o' for the sake of the "dashmstdout"
391 # We check with '-c' and '-o' for the sake of the "dashmstdout"
394392 # mode. It turns out that the SunPro C++ compiler does not properly
395 # handle `-M -o', and we need to detect this. Also, some Intel
396 # versions had trouble with output in subdirs
393 # handle '-M -o', and we need to detect this. Also, some Intel
394 # versions had trouble with output in subdirs.
397395 am__obj=sub/conftest.${OBJEXT-o}
398396 am__minus_obj="-o $am__obj"
399397 case $depmode in
402400 test "$am__universal" = false || continue
403401 ;;
404402 nosideeffect)
405 # after this tag, mechanisms are not by side-effect, so they'll
406 # only be used when explicitly requested
403 # After this tag, mechanisms are not by side-effect, so they'll
404 # only be used when explicitly requested.
407405 if test "x$enable_dependency_tracking" = xyes; then
408406 continue
409407 else
410408 break
411409 fi
412410 ;;
413 msvisualcpp | msvcmsys)
414 # This compiler won't grok `-c -o', but also, the minuso test has
411 msvc7 | msvc7msys | msvisualcpp | msvcmsys)
412 # This compiler won't grok '-c -o', but also, the minuso test has
415413 # not run yet. These depmodes are late enough in the game, and
416414 # so weak that their functioning should not be impacted.
417415 am__obj=conftest.${OBJEXT-o}
459457 # AM_SET_DEPDIR
460458 # -------------
461459 # Choose a directory name for dependency files.
462 # This macro is AC_REQUIREd in _AM_DEPENDENCIES
460 # This macro is AC_REQUIREd in _AM_DEPENDENCIES.
463461 AC_DEFUN([AM_SET_DEPDIR],
464462 [AC_REQUIRE([AM_SET_LEADING_DOT])dnl
465463 AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl
469467 # AM_DEP_TRACK
470468 # ------------
471469 AC_DEFUN([AM_DEP_TRACK],
472 [AC_ARG_ENABLE(dependency-tracking,
473 [ --disable-dependency-tracking speeds up one-time build
474 --enable-dependency-tracking do not reject slow dependency extractors])
470 [AC_ARG_ENABLE([dependency-tracking], [dnl
471 AS_HELP_STRING(
472 [--enable-dependency-tracking],
473 [do not reject slow dependency extractors])
474 AS_HELP_STRING(
475 [--disable-dependency-tracking],
476 [speeds up one-time build])])
475477 if test "x$enable_dependency_tracking" != xno; then
476478 am_depcomp="$ac_aux_dir/depcomp"
477479 AMDEPBACKSLASH='\'
480 am__nodep='_no'
478481 fi
479482 AM_CONDITIONAL([AMDEP], [test "x$enable_dependency_tracking" != xno])
480483 AC_SUBST([AMDEPBACKSLASH])dnl
481484 _AM_SUBST_NOTMAKE([AMDEPBACKSLASH])dnl
485 AC_SUBST([am__nodep])dnl
486 _AM_SUBST_NOTMAKE([am__nodep])dnl
482487 ])
483488
484489 # Generate code to set up dependency tracking. -*- Autoconf -*-
485490
486 # Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2008
487 # Free Software Foundation, Inc.
488 #
489 # This file is free software; the Free Software Foundation
490 # gives unlimited permission to copy and/or distribute it,
491 # with or without modifications, as long as this notice is preserved.
492
493 #serial 5
491 # Copyright (C) 1999-2013 Free Software Foundation, Inc.
492 #
493 # This file is free software; the Free Software Foundation
494 # gives unlimited permission to copy and/or distribute it,
495 # with or without modifications, as long as this notice is preserved.
496
494497
495498 # _AM_OUTPUT_DEPENDENCY_COMMANDS
496499 # ------------------------------
497500 AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS],
498501 [{
499 # Autoconf 2.62 quotes --file arguments for eval, but not when files
502 # Older Autoconf quotes --file arguments for eval, but not when files
500503 # are listed without --file. Let's play safe and only enable the eval
501504 # if we detect the quoting.
502505 case $CONFIG_FILES in
509512 # Strip MF so we end up with the name of the file.
510513 mf=`echo "$mf" | sed -e 's/:.*$//'`
511514 # Check whether this is an Automake generated Makefile or not.
512 # We used to match only the files named `Makefile.in', but
515 # We used to match only the files named 'Makefile.in', but
513516 # some people rename them; so instead we look at the file content.
514517 # Grep'ing the first line is not enough: some people post-process
515518 # each Makefile.in and add a new line on top of each file to say so.
521524 continue
522525 fi
523526 # Extract the definition of DEPDIR, am__include, and am__quote
524 # from the Makefile without running `make'.
527 # from the Makefile without running 'make'.
525528 DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
526529 test -z "$DEPDIR" && continue
527530 am__include=`sed -n 's/^am__include = //p' < "$mf"`
528531 test -z "am__include" && continue
529532 am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
530 # When using ansi2knr, U may be empty or an underscore; expand it
531 U=`sed -n 's/^U = //p' < "$mf"`
532533 # Find all dependency output files, they are included files with
533534 # $(DEPDIR) in their names. We invoke sed twice because it is the
534535 # simplest approach to changing $(DEPDIR) to its actual value in the
535536 # expansion.
536537 for file in `sed -n "
537538 s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
538 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
539 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g'`; do
539540 # Make sure the directory exists.
540541 test -f "$dirpart/$file" && continue
541542 fdir=`AS_DIRNAME(["$file"])`
553554 # This macro should only be invoked once -- use via AC_REQUIRE.
554555 #
555556 # This code is only required when automatic dependency tracking
556 # is enabled. FIXME. This creates each `.P' file that we will
557 # is enabled. FIXME. This creates each '.P' file that we will
557558 # need in order to bootstrap the dependency handling code.
558559 AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
559560 [AC_CONFIG_COMMANDS([depfiles],
563564
564565 # Do all the work for Automake. -*- Autoconf -*-
565566
566 # Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
567 # 2005, 2006, 2008, 2009 Free Software Foundation, Inc.
568 #
569 # This file is free software; the Free Software Foundation
570 # gives unlimited permission to copy and/or distribute it,
571 # with or without modifications, as long as this notice is preserved.
572
573 # serial 16
567 # Copyright (C) 1996-2013 Free Software Foundation, Inc.
568 #
569 # This file is free software; the Free Software Foundation
570 # gives unlimited permission to copy and/or distribute it,
571 # with or without modifications, as long as this notice is preserved.
574572
575573 # This macro actually does too much. Some checks are only needed if
576574 # your package does certain things. But this isn't really a big deal.
587585 # arguments mandatory, and then we can depend on a new Autoconf
588586 # release and drop the old call support.
589587 AC_DEFUN([AM_INIT_AUTOMAKE],
590 [AC_PREREQ([2.62])dnl
588 [AC_PREREQ([2.65])dnl
591589 dnl Autoconf wants to disallow AM_ names. We explicitly allow
592590 dnl the ones we care about.
593591 m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl
616614 # Define the identity of the package.
617615 dnl Distinguish between old-style and new-style calls.
618616 m4_ifval([$2],
619 [m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
617 [AC_DIAGNOSE([obsolete],
618 [$0: two- and three-arguments forms are deprecated.])
619 m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
620620 AC_SUBST([PACKAGE], [$1])dnl
621621 AC_SUBST([VERSION], [$2])],
622622 [_AM_SET_OPTIONS([$1])dnl
623623 dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT.
624 m4_if(m4_ifdef([AC_PACKAGE_NAME], 1)m4_ifdef([AC_PACKAGE_VERSION], 1), 11,,
624 m4_if(
625 m4_ifdef([AC_PACKAGE_NAME], [ok]):m4_ifdef([AC_PACKAGE_VERSION], [ok]),
626 [ok:ok],,
625627 [m4_fatal([AC_INIT should be called with package and version arguments])])dnl
626628 AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl
627629 AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl
628630
629631 _AM_IF_OPTION([no-define],,
630 [AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE", [Name of package])
631 AC_DEFINE_UNQUOTED(VERSION, "$VERSION", [Version number of package])])dnl
632 [AC_DEFINE_UNQUOTED([PACKAGE], ["$PACKAGE"], [Name of package])
633 AC_DEFINE_UNQUOTED([VERSION], ["$VERSION"], [Version number of package])])dnl
632634
633635 # Some tools Automake needs.
634636 AC_REQUIRE([AM_SANITY_CHECK])dnl
635637 AC_REQUIRE([AC_ARG_PROGRAM])dnl
636 AM_MISSING_PROG(ACLOCAL, aclocal-${am__api_version})
637 AM_MISSING_PROG(AUTOCONF, autoconf)
638 AM_MISSING_PROG(AUTOMAKE, automake-${am__api_version})
639 AM_MISSING_PROG(AUTOHEADER, autoheader)
640 AM_MISSING_PROG(MAKEINFO, makeinfo)
638 AM_MISSING_PROG([ACLOCAL], [aclocal-${am__api_version}])
639 AM_MISSING_PROG([AUTOCONF], [autoconf])
640 AM_MISSING_PROG([AUTOMAKE], [automake-${am__api_version}])
641 AM_MISSING_PROG([AUTOHEADER], [autoheader])
642 AM_MISSING_PROG([MAKEINFO], [makeinfo])
641643 AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
642644 AC_REQUIRE([AM_PROG_INSTALL_STRIP])dnl
643 AC_REQUIRE([AM_PROG_MKDIR_P])dnl
645 AC_REQUIRE([AC_PROG_MKDIR_P])dnl
646 # For better backward compatibility. To be removed once Automake 1.9.x
647 # dies out for good. For more background, see:
648 # <http://lists.gnu.org/archive/html/automake/2012-07/msg00001.html>
649 # <http://lists.gnu.org/archive/html/automake/2012-07/msg00014.html>
650 AC_SUBST([mkdir_p], ['$(MKDIR_P)'])
644651 # We need awk for the "check" target. The system "awk" is bad on
645652 # some platforms.
646653 AC_REQUIRE([AC_PROG_AWK])dnl
651658 [_AM_PROG_TAR([v7])])])
652659 _AM_IF_OPTION([no-dependencies],,
653660 [AC_PROVIDE_IFELSE([AC_PROG_CC],
654 [_AM_DEPENDENCIES(CC)],
655 [define([AC_PROG_CC],
656 defn([AC_PROG_CC])[_AM_DEPENDENCIES(CC)])])dnl
661 [_AM_DEPENDENCIES([CC])],
662 [m4_define([AC_PROG_CC],
663 m4_defn([AC_PROG_CC])[_AM_DEPENDENCIES([CC])])])dnl
657664 AC_PROVIDE_IFELSE([AC_PROG_CXX],
658 [_AM_DEPENDENCIES(CXX)],
659 [define([AC_PROG_CXX],
660 defn([AC_PROG_CXX])[_AM_DEPENDENCIES(CXX)])])dnl
665 [_AM_DEPENDENCIES([CXX])],
666 [m4_define([AC_PROG_CXX],
667 m4_defn([AC_PROG_CXX])[_AM_DEPENDENCIES([CXX])])])dnl
661668 AC_PROVIDE_IFELSE([AC_PROG_OBJC],
662 [_AM_DEPENDENCIES(OBJC)],
663 [define([AC_PROG_OBJC],
664 defn([AC_PROG_OBJC])[_AM_DEPENDENCIES(OBJC)])])dnl
665 ])
666 _AM_IF_OPTION([silent-rules], [AC_REQUIRE([AM_SILENT_RULES])])dnl
667 dnl The `parallel-tests' driver may need to know about EXEEXT, so add the
668 dnl `am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen. This macro
669 dnl is hooked onto _AC_COMPILER_EXEEXT early, see below.
669 [_AM_DEPENDENCIES([OBJC])],
670 [m4_define([AC_PROG_OBJC],
671 m4_defn([AC_PROG_OBJC])[_AM_DEPENDENCIES([OBJC])])])dnl
672 AC_PROVIDE_IFELSE([AC_PROG_OBJCXX],
673 [_AM_DEPENDENCIES([OBJCXX])],
674 [m4_define([AC_PROG_OBJCXX],
675 m4_defn([AC_PROG_OBJCXX])[_AM_DEPENDENCIES([OBJCXX])])])dnl
676 ])
677 AC_REQUIRE([AM_SILENT_RULES])dnl
678 dnl The testsuite driver may need to know about EXEEXT, so add the
679 dnl 'am__EXEEXT' conditional if _AM_COMPILER_EXEEXT was seen. This
680 dnl macro is hooked onto _AC_COMPILER_EXEEXT early, see below.
670681 AC_CONFIG_COMMANDS_PRE(dnl
671682 [m4_provide_if([_AM_COMPILER_EXEEXT],
672683 [AM_CONDITIONAL([am__EXEEXT], [test -n "$EXEEXT"])])])dnl
673684 ])
674685
675 dnl Hook into `_AC_COMPILER_EXEEXT' early to learn its expansion. Do not
686 dnl Hook into '_AC_COMPILER_EXEEXT' early to learn its expansion. Do not
676687 dnl add the conditional right here, as _AC_COMPILER_EXEEXT may be further
677688 dnl mangled by Autoconf and run in a shell conditional statement.
678689 m4_define([_AC_COMPILER_EXEEXT],
700711 done
701712 echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
702713
703 # Copyright (C) 2001, 2003, 2005, 2008 Free Software Foundation, Inc.
714 # Copyright (C) 2001-2013 Free Software Foundation, Inc.
704715 #
705716 # This file is free software; the Free Software Foundation
706717 # gives unlimited permission to copy and/or distribute it,
719730 install_sh="\${SHELL} $am_aux_dir/install-sh"
720731 esac
721732 fi
722 AC_SUBST(install_sh)])
723
724 # Copyright (C) 2003, 2005 Free Software Foundation, Inc.
725 #
726 # This file is free software; the Free Software Foundation
727 # gives unlimited permission to copy and/or distribute it,
728 # with or without modifications, as long as this notice is preserved.
729
730 # serial 2
733 AC_SUBST([install_sh])])
734
735 # Copyright (C) 2003-2013 Free Software Foundation, Inc.
736 #
737 # This file is free software; the Free Software Foundation
738 # gives unlimited permission to copy and/or distribute it,
739 # with or without modifications, as long as this notice is preserved.
731740
732741 # Check whether the underlying file-system supports filenames
733742 # with a leading dot. For instance MS-DOS doesn't.
745754 # Add --enable-maintainer-mode option to configure. -*- Autoconf -*-
746755 # From Jim Meyering
747756
748 # Copyright (C) 1996, 1998, 2000, 2001, 2002, 2003, 2004, 2005, 2008
749 # Free Software Foundation, Inc.
750 #
751 # This file is free software; the Free Software Foundation
752 # gives unlimited permission to copy and/or distribute it,
753 # with or without modifications, as long as this notice is preserved.
754
755 # serial 5
757 # Copyright (C) 1996-2013 Free Software Foundation, Inc.
758 #
759 # This file is free software; the Free Software Foundation
760 # gives unlimited permission to copy and/or distribute it,
761 # with or without modifications, as long as this notice is preserved.
756762
757763 # AM_MAINTAINER_MODE([DEFAULT-MODE])
758764 # ----------------------------------
759765 # Control maintainer-specific portions of Makefiles.
760 # Default is to disable them, unless `enable' is passed literally.
761 # For symmetry, `disable' may be passed as well. Anyway, the user
766 # Default is to disable them, unless 'enable' is passed literally.
767 # For symmetry, 'disable' may be passed as well. Anyway, the user
762768 # can override the default with the --enable/--disable switch.
763769 AC_DEFUN([AM_MAINTAINER_MODE],
764770 [m4_case(m4_default([$1], [disable]),
766772 [disable], [m4_define([am_maintainer_other], [enable])],
767773 [m4_define([am_maintainer_other], [enable])
768774 m4_warn([syntax], [unexpected argument to AM@&t@_MAINTAINER_MODE: $1])])
769 AC_MSG_CHECKING([whether to am_maintainer_other maintainer-specific portions of Makefiles])
775 AC_MSG_CHECKING([whether to enable maintainer-specific portions of Makefiles])
770776 dnl maintainer-mode's default is 'disable' unless 'enable' is passed
771777 AC_ARG_ENABLE([maintainer-mode],
772 [ --][am_maintainer_other][-maintainer-mode am_maintainer_other make rules and dependencies not useful
773 (and sometimes confusing) to the casual installer],
774 [USE_MAINTAINER_MODE=$enableval],
775 [USE_MAINTAINER_MODE=]m4_if(am_maintainer_other, [enable], [no], [yes]))
778 [AS_HELP_STRING([--]am_maintainer_other[-maintainer-mode],
779 am_maintainer_other[ make rules and dependencies not useful
780 (and sometimes confusing) to the casual installer])],
781 [USE_MAINTAINER_MODE=$enableval],
782 [USE_MAINTAINER_MODE=]m4_if(am_maintainer_other, [enable], [no], [yes]))
776783 AC_MSG_RESULT([$USE_MAINTAINER_MODE])
777784 AM_CONDITIONAL([MAINTAINER_MODE], [test $USE_MAINTAINER_MODE = yes])
778785 MAINT=$MAINTAINER_MODE_TRUE
780787 ]
781788 )
782789
783 AU_DEFUN([jm_MAINTAINER_MODE], [AM_MAINTAINER_MODE])
784
785790 # Check to see how 'make' treats includes. -*- Autoconf -*-
786791
787 # Copyright (C) 2001, 2002, 2003, 2005, 2009 Free Software Foundation, Inc.
788 #
789 # This file is free software; the Free Software Foundation
790 # gives unlimited permission to copy and/or distribute it,
791 # with or without modifications, as long as this notice is preserved.
792
793 # serial 4
792 # Copyright (C) 2001-2013 Free Software Foundation, Inc.
793 #
794 # This file is free software; the Free Software Foundation
795 # gives unlimited permission to copy and/or distribute it,
796 # with or without modifications, as long as this notice is preserved.
794797
795798 # AM_MAKE_INCLUDE()
796799 # -----------------
809812 _am_result=none
810813 # First try GNU make style include.
811814 echo "include confinc" > confmf
812 # Ignore all kinds of additional output from `make'.
815 # Ignore all kinds of additional output from 'make'.
813816 case `$am_make -s -f confmf 2> /dev/null` in #(
814817 *the\ am__doit\ target*)
815818 am__include=include
834837 rm -f confinc confmf
835838 ])
836839
840 # Copyright (C) 1999-2013 Free Software Foundation, Inc.
841 #
842 # This file is free software; the Free Software Foundation
843 # gives unlimited permission to copy and/or distribute it,
844 # with or without modifications, as long as this notice is preserved.
845
846 # AM_PROG_CC_C_O
847 # --------------
848 # Like AC_PROG_CC_C_O, but changed for automake.
849 AC_DEFUN([AM_PROG_CC_C_O],
850 [AC_REQUIRE([AC_PROG_CC_C_O])dnl
851 AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
852 AC_REQUIRE_AUX_FILE([compile])dnl
853 # FIXME: we rely on the cache variable name because
854 # there is no other way.
855 set dummy $CC
856 am_cc=`echo $[2] | sed ['s/[^a-zA-Z0-9_]/_/g;s/^[0-9]/_/']`
857 eval am_t=\$ac_cv_prog_cc_${am_cc}_c_o
858 if test "$am_t" != yes; then
859 # Losing compiler, so override with the script.
860 # FIXME: It is wrong to rewrite CC.
861 # But if we don't then we get into trouble of one sort or another.
862 # A longer-term fix would be to have automake use am__CC in this case,
863 # and then we could set am__CC="\$(top_srcdir)/compile \$(CC)"
864 CC="$am_aux_dir/compile $CC"
865 fi
866 dnl Make sure AC_PROG_CC is never called again, or it will override our
867 dnl setting of CC.
868 m4_define([AC_PROG_CC],
869 [m4_fatal([AC_PROG_CC cannot be called after AM_PROG_CC_C_O])])
870 ])
871
837872 # Fake the existence of programs that GNU maintainers use. -*- Autoconf -*-
838873
839 # Copyright (C) 1997, 1999, 2000, 2001, 2003, 2004, 2005, 2008
840 # Free Software Foundation, Inc.
841 #
842 # This file is free software; the Free Software Foundation
843 # gives unlimited permission to copy and/or distribute it,
844 # with or without modifications, as long as this notice is preserved.
845
846 # serial 6
874 # Copyright (C) 1997-2013 Free Software Foundation, Inc.
875 #
876 # This file is free software; the Free Software Foundation
877 # gives unlimited permission to copy and/or distribute it,
878 # with or without modifications, as long as this notice is preserved.
847879
848880 # AM_MISSING_PROG(NAME, PROGRAM)
849881 # ------------------------------
852884 $1=${$1-"${am_missing_run}$2"}
853885 AC_SUBST($1)])
854886
855
856887 # AM_MISSING_HAS_RUN
857888 # ------------------
858 # Define MISSING if not defined so far and test if it supports --run.
859 # If it does, set am_missing_run to use it, otherwise, to nothing.
889 # Define MISSING if not defined so far and test if it is modern enough.
890 # If it is, set am_missing_run to use it, otherwise, to nothing.
860891 AC_DEFUN([AM_MISSING_HAS_RUN],
861892 [AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
862893 AC_REQUIRE_AUX_FILE([missing])dnl
869900 esac
870901 fi
871902 # Use eval to expand $SHELL
872 if eval "$MISSING --run true"; then
873 am_missing_run="$MISSING --run "
903 if eval "$MISSING --is-lightweight"; then
904 am_missing_run="$MISSING "
874905 else
875906 am_missing_run=
876 AC_MSG_WARN([`missing' script is too old or missing])
877 fi
878 ])
879
880 # Copyright (C) 2003, 2004, 2005, 2006 Free Software Foundation, Inc.
881 #
882 # This file is free software; the Free Software Foundation
883 # gives unlimited permission to copy and/or distribute it,
884 # with or without modifications, as long as this notice is preserved.
885
886 # AM_PROG_MKDIR_P
887 # ---------------
888 # Check for `mkdir -p'.
889 AC_DEFUN([AM_PROG_MKDIR_P],
890 [AC_PREREQ([2.60])dnl
891 AC_REQUIRE([AC_PROG_MKDIR_P])dnl
892 dnl Automake 1.8 to 1.9.6 used to define mkdir_p. We now use MKDIR_P,
893 dnl while keeping a definition of mkdir_p for backward compatibility.
894 dnl @MKDIR_P@ is magic: AC_OUTPUT adjusts its value for each Makefile.
895 dnl However we cannot define mkdir_p as $(MKDIR_P) for the sake of
896 dnl Makefile.ins that do not define MKDIR_P, so we do our own
897 dnl adjustment using top_builddir (which is defined more often than
898 dnl MKDIR_P).
899 AC_SUBST([mkdir_p], ["$MKDIR_P"])dnl
900 case $mkdir_p in
901 [[\\/$]]* | ?:[[\\/]]*) ;;
902 */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
903 esac
907 AC_MSG_WARN(['missing' script is too old or missing])
908 fi
904909 ])
905910
906911 # Helper functions for option handling. -*- Autoconf -*-
907912
908 # Copyright (C) 2001, 2002, 2003, 2005, 2008 Free Software Foundation, Inc.
909 #
910 # This file is free software; the Free Software Foundation
911 # gives unlimited permission to copy and/or distribute it,
912 # with or without modifications, as long as this notice is preserved.
913
914 # serial 4
913 # Copyright (C) 2001-2013 Free Software Foundation, Inc.
914 #
915 # This file is free software; the Free Software Foundation
916 # gives unlimited permission to copy and/or distribute it,
917 # with or without modifications, as long as this notice is preserved.
915918
916919 # _AM_MANGLE_OPTION(NAME)
917920 # -----------------------
919922 [[_AM_OPTION_]m4_bpatsubst($1, [[^a-zA-Z0-9_]], [_])])
920923
921924 # _AM_SET_OPTION(NAME)
922 # ------------------------------
925 # --------------------
923926 # Set option NAME. Presently that only means defining a flag for this option.
924927 AC_DEFUN([_AM_SET_OPTION],
925 [m4_define(_AM_MANGLE_OPTION([$1]), 1)])
928 [m4_define(_AM_MANGLE_OPTION([$1]), [1])])
926929
927930 # _AM_SET_OPTIONS(OPTIONS)
928 # ----------------------------------
931 # ------------------------
929932 # OPTIONS is a space-separated list of Automake options.
930933 AC_DEFUN([_AM_SET_OPTIONS],
931934 [m4_foreach_w([_AM_Option], [$1], [_AM_SET_OPTION(_AM_Option)])])
938941
939942 # Check to make sure that the build environment is sane. -*- Autoconf -*-
940943
941 # Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005, 2008
942 # Free Software Foundation, Inc.
943 #
944 # This file is free software; the Free Software Foundation
945 # gives unlimited permission to copy and/or distribute it,
946 # with or without modifications, as long as this notice is preserved.
947
948 # serial 5
944 # Copyright (C) 1996-2013 Free Software Foundation, Inc.
945 #
946 # This file is free software; the Free Software Foundation
947 # gives unlimited permission to copy and/or distribute it,
948 # with or without modifications, as long as this notice is preserved.
949949
950950 # AM_SANITY_CHECK
951951 # ---------------
952952 AC_DEFUN([AM_SANITY_CHECK],
953953 [AC_MSG_CHECKING([whether build environment is sane])
954 # Just in case
955 sleep 1
956 echo timestamp > conftest.file
957954 # Reject unsafe characters in $srcdir or the absolute working directory
958955 # name. Accept space and tab only in the latter.
959956 am_lf='
964961 esac
965962 case $srcdir in
966963 *[[\\\"\#\$\&\'\`$am_lf\ \ ]]*)
967 AC_MSG_ERROR([unsafe srcdir value: `$srcdir']);;
964 AC_MSG_ERROR([unsafe srcdir value: '$srcdir']);;
968965 esac
969966
970 # Do `set' in a subshell so we don't clobber the current shell's
967 # Do 'set' in a subshell so we don't clobber the current shell's
971968 # arguments. Must try -L first in case configure is actually a
972969 # symlink; some systems play weird games with the mod time of symlinks
973970 # (eg FreeBSD returns the mod time of the symlink's containing
974971 # directory).
975972 if (
976 set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
977 if test "$[*]" = "X"; then
978 # -L didn't work.
979 set X `ls -t "$srcdir/configure" conftest.file`
980 fi
981 rm -f conftest.file
982 if test "$[*]" != "X $srcdir/configure conftest.file" \
983 && test "$[*]" != "X conftest.file $srcdir/configure"; then
984
985 # If neither matched, then we have a broken ls. This can happen
986 # if, for instance, CONFIG_SHELL is bash and it inherits a
987 # broken ls alias from the environment. This has actually
988 # happened. Such a system could not be considered "sane".
989 AC_MSG_ERROR([ls -t appears to fail. Make sure there is not a broken
990 alias in your environment])
991 fi
992
973 am_has_slept=no
974 for am_try in 1 2; do
975 echo "timestamp, slept: $am_has_slept" > conftest.file
976 set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
977 if test "$[*]" = "X"; then
978 # -L didn't work.
979 set X `ls -t "$srcdir/configure" conftest.file`
980 fi
981 if test "$[*]" != "X $srcdir/configure conftest.file" \
982 && test "$[*]" != "X conftest.file $srcdir/configure"; then
983
984 # If neither matched, then we have a broken ls. This can happen
985 # if, for instance, CONFIG_SHELL is bash and it inherits a
986 # broken ls alias from the environment. This has actually
987 # happened. Such a system could not be considered "sane".
988 AC_MSG_ERROR([ls -t appears to fail. Make sure there is not a broken
989 alias in your environment])
990 fi
991 if test "$[2]" = conftest.file || test $am_try -eq 2; then
992 break
993 fi
994 # Just in case.
995 sleep 1
996 am_has_slept=yes
997 done
993998 test "$[2]" = conftest.file
994999 )
9951000 then
9991004 AC_MSG_ERROR([newly created file is older than distributed files!
10001005 Check your system clock])
10011006 fi
1002 AC_MSG_RESULT(yes)])
1003
1004 # Copyright (C) 2001, 2003, 2005 Free Software Foundation, Inc.
1007 AC_MSG_RESULT([yes])
1008 # If we didn't sleep, we still need to ensure time stamps of config.status and
1009 # generated files are strictly newer.
1010 am_sleep_pid=
1011 if grep 'slept: no' conftest.file >/dev/null 2>&1; then
1012 ( sleep 1 ) &
1013 am_sleep_pid=$!
1014 fi
1015 AC_CONFIG_COMMANDS_PRE(
1016 [AC_MSG_CHECKING([that generated files are newer than configure])
1017 if test -n "$am_sleep_pid"; then
1018 # Hide warnings about reused PIDs.
1019 wait $am_sleep_pid 2>/dev/null
1020 fi
1021 AC_MSG_RESULT([done])])
1022 rm -f conftest.file
1023 ])
1024
1025 # Copyright (C) 2009-2013 Free Software Foundation, Inc.
1026 #
1027 # This file is free software; the Free Software Foundation
1028 # gives unlimited permission to copy and/or distribute it,
1029 # with or without modifications, as long as this notice is preserved.
1030
1031 # AM_SILENT_RULES([DEFAULT])
1032 # --------------------------
1033 # Enable less verbose build rules; with the default set to DEFAULT
1034 # ("yes" being less verbose, "no" or empty being verbose).
1035 AC_DEFUN([AM_SILENT_RULES],
1036 [AC_ARG_ENABLE([silent-rules], [dnl
1037 AS_HELP_STRING(
1038 [--enable-silent-rules],
1039 [less verbose build output (undo: "make V=1")])
1040 AS_HELP_STRING(
1041 [--disable-silent-rules],
1042 [verbose build output (undo: "make V=0")])dnl
1043 ])
1044 case $enable_silent_rules in @%:@ (((
1045 yes) AM_DEFAULT_VERBOSITY=0;;
1046 no) AM_DEFAULT_VERBOSITY=1;;
1047 *) AM_DEFAULT_VERBOSITY=m4_if([$1], [yes], [0], [1]);;
1048 esac
1049 dnl
1050 dnl A few 'make' implementations (e.g., NonStop OS and NextStep)
1051 dnl do not support nested variable expansions.
1052 dnl See automake bug#9928 and bug#10237.
1053 am_make=${MAKE-make}
1054 AC_CACHE_CHECK([whether $am_make supports nested variables],
1055 [am_cv_make_support_nested_variables],
1056 [if AS_ECHO([['TRUE=$(BAR$(V))
1057 BAR0=false
1058 BAR1=true
1059 V=1
1060 am__doit:
1061 @$(TRUE)
1062 .PHONY: am__doit']]) | $am_make -f - >/dev/null 2>&1; then
1063 am_cv_make_support_nested_variables=yes
1064 else
1065 am_cv_make_support_nested_variables=no
1066 fi])
1067 if test $am_cv_make_support_nested_variables = yes; then
1068 dnl Using '$V' instead of '$(V)' breaks IRIX make.
1069 AM_V='$(V)'
1070 AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)'
1071 else
1072 AM_V=$AM_DEFAULT_VERBOSITY
1073 AM_DEFAULT_V=$AM_DEFAULT_VERBOSITY
1074 fi
1075 AC_SUBST([AM_V])dnl
1076 AM_SUBST_NOTMAKE([AM_V])dnl
1077 AC_SUBST([AM_DEFAULT_V])dnl
1078 AM_SUBST_NOTMAKE([AM_DEFAULT_V])dnl
1079 AC_SUBST([AM_DEFAULT_VERBOSITY])dnl
1080 AM_BACKSLASH='\'
1081 AC_SUBST([AM_BACKSLASH])dnl
1082 _AM_SUBST_NOTMAKE([AM_BACKSLASH])dnl
1083 ])
1084
1085 # Copyright (C) 2001-2013 Free Software Foundation, Inc.
10051086 #
10061087 # This file is free software; the Free Software Foundation
10071088 # gives unlimited permission to copy and/or distribute it,
10091090
10101091 # AM_PROG_INSTALL_STRIP
10111092 # ---------------------
1012 # One issue with vendor `install' (even GNU) is that you can't
1093 # One issue with vendor 'install' (even GNU) is that you can't
10131094 # specify the program used to strip binaries. This is especially
10141095 # annoying in cross-compiling environments, where the build's strip
10151096 # is unlikely to handle the host's binaries.
10161097 # Fortunately install-sh will honor a STRIPPROG variable, so we
1017 # always use install-sh in `make install-strip', and initialize
1098 # always use install-sh in "make install-strip", and initialize
10181099 # STRIPPROG with the value of the STRIP variable (set by the user).
10191100 AC_DEFUN([AM_PROG_INSTALL_STRIP],
10201101 [AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
1021 # Installed binaries are usually stripped using `strip' when the user
1022 # run `make install-strip'. However `strip' might not be the right
1102 # Installed binaries are usually stripped using 'strip' when the user
1103 # run "make install-strip". However 'strip' might not be the right
10231104 # tool to use in cross-compilation environments, therefore Automake
1024 # will honor the `STRIP' environment variable to overrule this program.
1025 dnl Don't test for $cross_compiling = yes, because it might be `maybe'.
1105 # will honor the 'STRIP' environment variable to overrule this program.
1106 dnl Don't test for $cross_compiling = yes, because it might be 'maybe'.
10261107 if test "$cross_compiling" != no; then
10271108 AC_CHECK_TOOL([STRIP], [strip], :)
10281109 fi
10291110 INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
10301111 AC_SUBST([INSTALL_STRIP_PROGRAM])])
10311112
1032 # Copyright (C) 2006, 2008 Free Software Foundation, Inc.
1033 #
1034 # This file is free software; the Free Software Foundation
1035 # gives unlimited permission to copy and/or distribute it,
1036 # with or without modifications, as long as this notice is preserved.
1037
1038 # serial 2
1113 # Copyright (C) 2006-2013 Free Software Foundation, Inc.
1114 #
1115 # This file is free software; the Free Software Foundation
1116 # gives unlimited permission to copy and/or distribute it,
1117 # with or without modifications, as long as this notice is preserved.
10391118
10401119 # _AM_SUBST_NOTMAKE(VARIABLE)
10411120 # ---------------------------
10441123 AC_DEFUN([_AM_SUBST_NOTMAKE])
10451124
10461125 # AM_SUBST_NOTMAKE(VARIABLE)
1047 # ---------------------------
1126 # --------------------------
10481127 # Public sister of _AM_SUBST_NOTMAKE.
10491128 AC_DEFUN([AM_SUBST_NOTMAKE], [_AM_SUBST_NOTMAKE($@)])
10501129
10511130 # Check how to create a tarball. -*- Autoconf -*-
10521131
1053 # Copyright (C) 2004, 2005 Free Software Foundation, Inc.
1054 #
1055 # This file is free software; the Free Software Foundation
1056 # gives unlimited permission to copy and/or distribute it,
1057 # with or without modifications, as long as this notice is preserved.
1058
1059 # serial 2
1132 # Copyright (C) 2004-2013 Free Software Foundation, Inc.
1133 #
1134 # This file is free software; the Free Software Foundation
1135 # gives unlimited permission to copy and/or distribute it,
1136 # with or without modifications, as long as this notice is preserved.
10601137
10611138 # _AM_PROG_TAR(FORMAT)
10621139 # --------------------
10631140 # Check how to create a tarball in format FORMAT.
1064 # FORMAT should be one of `v7', `ustar', or `pax'.
1141 # FORMAT should be one of 'v7', 'ustar', or 'pax'.
10651142 #
10661143 # Substitute a variable $(am__tar) that is a command
10671144 # writing to stdout a FORMAT-tarball containing the directory
10721149 # a tarball read from stdin.
10731150 # $(am__untar) < result.tar
10741151 AC_DEFUN([_AM_PROG_TAR],
1075 [# Always define AMTAR for backward compatibility.
1076 AM_MISSING_PROG([AMTAR], [tar])
1152 [# Always define AMTAR for backward compatibility. Yes, it's still used
1153 # in the wild :-( We should find a proper way to deprecate it ...
1154 AC_SUBST([AMTAR], ['$${TAR-tar}'])
10771155 m4_if([$1], [v7],
1078 [am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'],
1156 [am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -'],
10791157 [m4_case([$1], [ustar],, [pax],,
10801158 [m4_fatal([Unknown tar format])])
10811159 AC_MSG_CHECKING([how to create a $1 tar archive])
10831161 _am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none'
10841162 _am_tools=${am_cv_prog_tar_$1-$_am_tools}
10851163 # Do not fold the above two line into one, because Tru64 sh and
1086 # Solaris sh will not grok spaces in the rhs of `-'.
1164 # Solaris sh will not grok spaces in the rhs of '-'.
10871165 for _am_tool in $_am_tools
10881166 do
10891167 case $_am_tool in
0 #! /bin/sh
1 # Wrapper for compilers which do not understand '-c -o'.
2
3 scriptversion=2012-10-14.11; # UTC
4
5 # Copyright (C) 1999-2013 Free Software Foundation, Inc.
6 # Written by Tom Tromey <tromey@cygnus.com>.
7 #
8 # This program is free software; you can redistribute it and/or modify
9 # it under the terms of the GNU General Public License as published by
10 # the Free Software Foundation; either version 2, or (at your option)
11 # any later version.
12 #
13 # This program is distributed in the hope that it will be useful,
14 # but WITHOUT ANY WARRANTY; without even the implied warranty of
15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 # GNU General Public License for more details.
17 #
18 # You should have received a copy of the GNU General Public License
19 # along with this program. If not, see <http://www.gnu.org/licenses/>.
20
21 # As a special exception to the GNU General Public License, if you
22 # distribute this file as part of a program that contains a
23 # configuration script generated by Autoconf, you may include it under
24 # the same distribution terms that you use for the rest of that program.
25
26 # This file is maintained in Automake, please report
27 # bugs to <bug-automake@gnu.org> or send patches to
28 # <automake-patches@gnu.org>.
29
30 nl='
31 '
32
33 # We need space, tab and new line, in precisely that order. Quoting is
34 # there to prevent tools from complaining about whitespace usage.
35 IFS=" "" $nl"
36
37 file_conv=
38
39 # func_file_conv build_file lazy
40 # Convert a $build file to $host form and store it in $file
41 # Currently only supports Windows hosts. If the determined conversion
42 # type is listed in (the comma separated) LAZY, no conversion will
43 # take place.
44 func_file_conv ()
45 {
46 file=$1
47 case $file in
48 / | /[!/]*) # absolute file, and not a UNC file
49 if test -z "$file_conv"; then
50 # lazily determine how to convert abs files
51 case `uname -s` in
52 MINGW*)
53 file_conv=mingw
54 ;;
55 CYGWIN*)
56 file_conv=cygwin
57 ;;
58 *)
59 file_conv=wine
60 ;;
61 esac
62 fi
63 case $file_conv/,$2, in
64 *,$file_conv,*)
65 ;;
66 mingw/*)
67 file=`cmd //C echo "$file " | sed -e 's/"\(.*\) " *$/\1/'`
68 ;;
69 cygwin/*)
70 file=`cygpath -m "$file" || echo "$file"`
71 ;;
72 wine/*)
73 file=`winepath -w "$file" || echo "$file"`
74 ;;
75 esac
76 ;;
77 esac
78 }
79
80 # func_cl_dashL linkdir
81 # Make cl look for libraries in LINKDIR
82 func_cl_dashL ()
83 {
84 func_file_conv "$1"
85 if test -z "$lib_path"; then
86 lib_path=$file
87 else
88 lib_path="$lib_path;$file"
89 fi
90 linker_opts="$linker_opts -LIBPATH:$file"
91 }
92
93 # func_cl_dashl library
94 # Do a library search-path lookup for cl
95 func_cl_dashl ()
96 {
97 lib=$1
98 found=no
99 save_IFS=$IFS
100 IFS=';'
101 for dir in $lib_path $LIB
102 do
103 IFS=$save_IFS
104 if $shared && test -f "$dir/$lib.dll.lib"; then
105 found=yes
106 lib=$dir/$lib.dll.lib
107 break
108 fi
109 if test -f "$dir/$lib.lib"; then
110 found=yes
111 lib=$dir/$lib.lib
112 break
113 fi
114 if test -f "$dir/lib$lib.a"; then
115 found=yes
116 lib=$dir/lib$lib.a
117 break
118 fi
119 done
120 IFS=$save_IFS
121
122 if test "$found" != yes; then
123 lib=$lib.lib
124 fi
125 }
126
127 # func_cl_wrapper cl arg...
128 # Adjust compile command to suit cl
129 func_cl_wrapper ()
130 {
131 # Assume a capable shell
132 lib_path=
133 shared=:
134 linker_opts=
135 for arg
136 do
137 if test -n "$eat"; then
138 eat=
139 else
140 case $1 in
141 -o)
142 # configure might choose to run compile as 'compile cc -o foo foo.c'.
143 eat=1
144 case $2 in
145 *.o | *.[oO][bB][jJ])
146 func_file_conv "$2"
147 set x "$@" -Fo"$file"
148 shift
149 ;;
150 *)
151 func_file_conv "$2"
152 set x "$@" -Fe"$file"
153 shift
154 ;;
155 esac
156 ;;
157 -I)
158 eat=1
159 func_file_conv "$2" mingw
160 set x "$@" -I"$file"
161 shift
162 ;;
163 -I*)
164 func_file_conv "${1#-I}" mingw
165 set x "$@" -I"$file"
166 shift
167 ;;
168 -l)
169 eat=1
170 func_cl_dashl "$2"
171 set x "$@" "$lib"
172 shift
173 ;;
174 -l*)
175 func_cl_dashl "${1#-l}"
176 set x "$@" "$lib"
177 shift
178 ;;
179 -L)
180 eat=1
181 func_cl_dashL "$2"
182 ;;
183 -L*)
184 func_cl_dashL "${1#-L}"
185 ;;
186 -static)
187 shared=false
188 ;;
189 -Wl,*)
190 arg=${1#-Wl,}
191 save_ifs="$IFS"; IFS=','
192 for flag in $arg; do
193 IFS="$save_ifs"
194 linker_opts="$linker_opts $flag"
195 done
196 IFS="$save_ifs"
197 ;;
198 -Xlinker)
199 eat=1
200 linker_opts="$linker_opts $2"
201 ;;
202 -*)
203 set x "$@" "$1"
204 shift
205 ;;
206 *.cc | *.CC | *.cxx | *.CXX | *.[cC]++)
207 func_file_conv "$1"
208 set x "$@" -Tp"$file"
209 shift
210 ;;
211 *.c | *.cpp | *.CPP | *.lib | *.LIB | *.Lib | *.OBJ | *.obj | *.[oO])
212 func_file_conv "$1" mingw
213 set x "$@" "$file"
214 shift
215 ;;
216 *)
217 set x "$@" "$1"
218 shift
219 ;;
220 esac
221 fi
222 shift
223 done
224 if test -n "$linker_opts"; then
225 linker_opts="-link$linker_opts"
226 fi
227 exec "$@" $linker_opts
228 exit 1
229 }
230
231 eat=
232
233 case $1 in
234 '')
235 echo "$0: No command. Try '$0 --help' for more information." 1>&2
236 exit 1;
237 ;;
238 -h | --h*)
239 cat <<\EOF
240 Usage: compile [--help] [--version] PROGRAM [ARGS]
241
242 Wrapper for compilers which do not understand '-c -o'.
243 Remove '-o dest.o' from ARGS, run PROGRAM with the remaining
244 arguments, and rename the output as expected.
245
246 If you are trying to build a whole package this is not the
247 right script to run: please start by reading the file 'INSTALL'.
248
249 Report bugs to <bug-automake@gnu.org>.
250 EOF
251 exit $?
252 ;;
253 -v | --v*)
254 echo "compile $scriptversion"
255 exit $?
256 ;;
257 cl | *[/\\]cl | cl.exe | *[/\\]cl.exe )
258 func_cl_wrapper "$@" # Doesn't return...
259 ;;
260 esac
261
262 ofile=
263 cfile=
264
265 for arg
266 do
267 if test -n "$eat"; then
268 eat=
269 else
270 case $1 in
271 -o)
272 # configure might choose to run compile as 'compile cc -o foo foo.c'.
273 # So we strip '-o arg' only if arg is an object.
274 eat=1
275 case $2 in
276 *.o | *.obj)
277 ofile=$2
278 ;;
279 *)
280 set x "$@" -o "$2"
281 shift
282 ;;
283 esac
284 ;;
285 *.c)
286 cfile=$1
287 set x "$@" "$1"
288 shift
289 ;;
290 *)
291 set x "$@" "$1"
292 shift
293 ;;
294 esac
295 fi
296 shift
297 done
298
299 if test -z "$ofile" || test -z "$cfile"; then
300 # If no '-o' option was seen then we might have been invoked from a
301 # pattern rule where we don't need one. That is ok -- this is a
302 # normal compilation that the losing compiler can handle. If no
303 # '.c' file was seen then we are probably linking. That is also
304 # ok.
305 exec "$@"
306 fi
307
308 # Name of file we expect compiler to create.
309 cofile=`echo "$cfile" | sed 's|^.*[\\/]||; s|^[a-zA-Z]:||; s/\.c$/.o/'`
310
311 # Create the lock directory.
312 # Note: use '[/\\:.-]' here to ensure that we don't use the same name
313 # that we are using for the .o file. Also, base the name on the expected
314 # object file name, since that is what matters with a parallel build.
315 lockdir=`echo "$cofile" | sed -e 's|[/\\:.-]|_|g'`.d
316 while true; do
317 if mkdir "$lockdir" >/dev/null 2>&1; then
318 break
319 fi
320 sleep 1
321 done
322 # FIXME: race condition here if user kills between mkdir and trap.
323 trap "rmdir '$lockdir'; exit 1" 1 2 15
324
325 # Run the compile.
326 "$@"
327 ret=$?
328
329 if test -f "$cofile"; then
330 test "$cofile" = "$ofile" || mv "$cofile" "$ofile"
331 elif test -f "${cofile}bj"; then
332 test "${cofile}bj" = "$ofile" || mv "${cofile}bj" "$ofile"
333 fi
334
335 rmdir "$lockdir"
336 exit $ret
337
338 # Local Variables:
339 # mode: shell-script
340 # sh-indentation: 2
341 # eval: (add-hook 'write-file-hooks 'time-stamp)
342 # time-stamp-start: "scriptversion="
343 # time-stamp-format: "%:y-%02m-%02d.%02H"
344 # time-stamp-time-zone: "UTC"
345 # time-stamp-end: "; # UTC"
346 # End:
00 #! /bin/sh
11 # Attempt to guess a canonical system name.
22 # Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999,
3 # 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008
4 # Free Software Foundation, Inc.
5
6 timestamp='2008-01-23'
3 # 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010,
4 # 2011, 2012, 2013 Free Software Foundation, Inc.
5
6 timestamp='2012-12-29'
77
88 # This file is free software; you can redistribute it and/or modify it
99 # under the terms of the GNU General Public License as published by
10 # the Free Software Foundation; either version 2 of the License, or
10 # the Free Software Foundation; either version 3 of the License, or
1111 # (at your option) any later version.
1212 #
1313 # This program is distributed in the hope that it will be useful, but
1616 # General Public License for more details.
1717 #
1818 # You should have received a copy of the GNU General Public License
19 # along with this program; if not, write to the Free Software
20 # Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA
21 # 02110-1301, USA.
19 # along with this program; if not, see <http://www.gnu.org/licenses/>.
2220 #
2321 # As a special exception to the GNU General Public License, if you
2422 # distribute this file as part of a program that contains a
2523 # configuration script generated by Autoconf, you may include it under
26 # the same distribution terms that you use for the rest of that program.
27
28
29 # Originally written by Per Bothner <per@bothner.com>.
30 # Please send patches to <config-patches@gnu.org>. Submit a context
31 # diff and a properly formatted ChangeLog entry.
24 # the same distribution terms that you use for the rest of that
25 # program. This Exception is an additional permission under section 7
26 # of the GNU General Public License, version 3 ("GPLv3").
3227 #
33 # This script attempts to guess a canonical system name similar to
34 # config.sub. If it succeeds, it prints the system name on stdout, and
35 # exits with 0. Otherwise, it exits with 1.
28 # Originally written by Per Bothner.
3629 #
37 # The plan is that this can be called by configure scripts if you
38 # don't specify an explicit build system type.
30 # You can get the latest version of this script from:
31 # http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.guess;hb=HEAD
32 #
33 # Please send patches with a ChangeLog entry to config-patches@gnu.org.
34
3935
4036 me=`echo "$0" | sed -e 's,.*/,,'`
4137
5551 GNU config.guess ($timestamp)
5652
5753 Originally written by Per Bothner.
58 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001,
59 2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
54 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000,
55 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011,
56 2012, 2013 Free Software Foundation, Inc.
6057
6158 This is free software; see the source for copying conditions. There is NO
6259 warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE."
143140 case "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" in
144141 *:NetBSD:*:*)
145142 # NetBSD (nbsd) targets should (where applicable) match one or
146 # more of the tupples: *-*-netbsdelf*, *-*-netbsdaout*,
143 # more of the tuples: *-*-netbsdelf*, *-*-netbsdaout*,
147144 # *-*-netbsdecoff* and *-*-netbsd*. For targets that recently
148145 # switched to ELF, *-*-netbsd* would select the old
149146 # object file format. This provides both forward
169166 arm*|i386|m68k|ns32k|sh3*|sparc|vax)
170167 eval $set_cc_for_build
171168 if echo __ELF__ | $CC_FOR_BUILD -E - 2>/dev/null \
172 | grep __ELF__ >/dev/null
169 | grep -q __ELF__
173170 then
174171 # Once all utilities can be ECOFF (netbsdecoff) or a.out (netbsdaout).
175172 # Return netbsd for either. FIX?
179176 fi
180177 ;;
181178 *)
182 os=netbsd
179 os=netbsd
183180 ;;
184181 esac
185182 # The OS release
200197 # CPU_TYPE-MANUFACTURER-OPERATING_SYSTEM is used.
201198 echo "${machine}-${os}${release}"
202199 exit ;;
200 *:Bitrig:*:*)
201 UNAME_MACHINE_ARCH=`arch | sed 's/Bitrig.//'`
202 echo ${UNAME_MACHINE_ARCH}-unknown-bitrig${UNAME_RELEASE}
203 exit ;;
203204 *:OpenBSD:*:*)
204205 UNAME_MACHINE_ARCH=`arch | sed 's/OpenBSD.//'`
205206 echo ${UNAME_MACHINE_ARCH}-unknown-openbsd${UNAME_RELEASE}
222223 UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $3}'`
223224 ;;
224225 *5.*)
225 UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'`
226 UNAME_RELEASE=`/usr/sbin/sizer -v | awk '{print $4}'`
226227 ;;
227228 esac
228229 # According to Compaq, /usr/sbin/psrinfo has been available on
268269 # A Xn.n version is an unreleased experimental baselevel.
269270 # 1.2 uses "1.2" for uname -r.
270271 echo ${UNAME_MACHINE}-dec-osf`echo ${UNAME_RELEASE} | sed -e 's/^[PVTX]//' | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
271 exit ;;
272 # Reset EXIT trap before exiting to avoid spurious non-zero exit code.
273 exitcode=$?
274 trap '' 0
275 exit $exitcode ;;
272276 Alpha\ *:Windows_NT*:*)
273277 # How do we know it's Interix rather than the generic POSIX subsystem?
274278 # Should we change UNAME_MACHINE based on the output of uname instead
294298 echo s390-ibm-zvmoe
295299 exit ;;
296300 *:OS400:*:*)
297 echo powerpc-ibm-os400
301 echo powerpc-ibm-os400
298302 exit ;;
299303 arm:RISC*:1.[012]*:*|arm:riscix:1.[012]*:*)
300304 echo arm-acorn-riscix${UNAME_RELEASE}
301305 exit ;;
302 arm:riscos:*:*|arm:RISCOS:*:*)
306 arm*:riscos:*:*|arm*:RISCOS:*:*)
303307 echo arm-unknown-riscos
304308 exit ;;
305309 SR2?01:HI-UX/MPP:*:* | SR8000:HI-UX/MPP:*:*)
323327 case `/usr/bin/uname -p` in
324328 sparc) echo sparc-icl-nx7; exit ;;
325329 esac ;;
330 s390x:SunOS:*:*)
331 echo ${UNAME_MACHINE}-ibm-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
332 exit ;;
326333 sun4H:SunOS:5.*:*)
327334 echo sparc-hal-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
328335 exit ;;
329336 sun4*:SunOS:5.*:* | tadpole*:SunOS:5.*:*)
330337 echo sparc-sun-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
331338 exit ;;
339 i86pc:AuroraUX:5.*:* | i86xen:AuroraUX:5.*:*)
340 echo i386-pc-auroraux${UNAME_RELEASE}
341 exit ;;
332342 i86pc:SunOS:5.*:* | i86xen:SunOS:5.*:*)
333 echo i386-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
343 eval $set_cc_for_build
344 SUN_ARCH="i386"
345 # If there is a compiler, see if it is configured for 64-bit objects.
346 # Note that the Sun cc does not turn __LP64__ into 1 like gcc does.
347 # This test works for both compilers.
348 if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
349 if (echo '#ifdef __amd64'; echo IS_64BIT_ARCH; echo '#endif') | \
350 (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \
351 grep IS_64BIT_ARCH >/dev/null
352 then
353 SUN_ARCH="x86_64"
354 fi
355 fi
356 echo ${SUN_ARCH}-pc-solaris2`echo ${UNAME_RELEASE}|sed -e 's/[^.]*//'`
334357 exit ;;
335358 sun4*:SunOS:6*:*)
336359 # According to config.sub, this is the proper way to canonicalize
374397 # MiNT. But MiNT is downward compatible to TOS, so this should
375398 # be no problem.
376399 atarist[e]:*MiNT:*:* | atarist[e]:*mint:*:* | atarist[e]:*TOS:*:*)
377 echo m68k-atari-mint${UNAME_RELEASE}
400 echo m68k-atari-mint${UNAME_RELEASE}
378401 exit ;;
379402 atari*:*MiNT:*:* | atari*:*mint:*:* | atarist[e]:*TOS:*:*)
380403 echo m68k-atari-mint${UNAME_RELEASE}
381 exit ;;
404 exit ;;
382405 *falcon*:*MiNT:*:* | *falcon*:*mint:*:* | *falcon*:*TOS:*:*)
383 echo m68k-atari-mint${UNAME_RELEASE}
406 echo m68k-atari-mint${UNAME_RELEASE}
384407 exit ;;
385408 milan*:*MiNT:*:* | milan*:*mint:*:* | *milan*:*TOS:*:*)
386 echo m68k-milan-mint${UNAME_RELEASE}
387 exit ;;
409 echo m68k-milan-mint${UNAME_RELEASE}
410 exit ;;
388411 hades*:*MiNT:*:* | hades*:*mint:*:* | *hades*:*TOS:*:*)
389 echo m68k-hades-mint${UNAME_RELEASE}
390 exit ;;
412 echo m68k-hades-mint${UNAME_RELEASE}
413 exit ;;
391414 *:*MiNT:*:* | *:*mint:*:* | *:*TOS:*:*)
392 echo m68k-unknown-mint${UNAME_RELEASE}
393 exit ;;
415 echo m68k-unknown-mint${UNAME_RELEASE}
416 exit ;;
394417 m68k:machten:*:*)
395418 echo m68k-apple-machten${UNAME_RELEASE}
396419 exit ;;
460483 echo m88k-motorola-sysv3
461484 exit ;;
462485 AViiON:dgux:*:*)
463 # DG/UX returns AViiON for all architectures
464 UNAME_PROCESSOR=`/usr/bin/uname -p`
486 # DG/UX returns AViiON for all architectures
487 UNAME_PROCESSOR=`/usr/bin/uname -p`
465488 if [ $UNAME_PROCESSOR = mc88100 ] || [ $UNAME_PROCESSOR = mc88110 ]
466489 then
467490 if [ ${TARGET_BINARY_INTERFACE}x = m88kdguxelfx ] || \
474497 else
475498 echo i586-dg-dgux${UNAME_RELEASE}
476499 fi
477 exit ;;
500 exit ;;
478501 M88*:DolphinOS:*:*) # DolphinOS (SVR3)
479502 echo m88k-dolphin-sysv3
480503 exit ;;
531554 echo rs6000-ibm-aix3.2
532555 fi
533556 exit ;;
534 *:AIX:*:[456])
557 *:AIX:*:[4567])
535558 IBM_CPU_ID=`/usr/sbin/lsdev -C -c processor -S available | sed 1q | awk '{ print $1 }'`
536559 if /usr/sbin/lsattr -El ${IBM_CPU_ID} | grep ' POWER' >/dev/null 2>&1; then
537560 IBM_ARCH=rs6000
574597 9000/[678][0-9][0-9])
575598 if [ -x /usr/bin/getconf ]; then
576599 sc_cpu_version=`/usr/bin/getconf SC_CPU_VERSION 2>/dev/null`
577 sc_kernel_bits=`/usr/bin/getconf SC_KERNEL_BITS 2>/dev/null`
578 case "${sc_cpu_version}" in
579 523) HP_ARCH="hppa1.0" ;; # CPU_PA_RISC1_0
580 528) HP_ARCH="hppa1.1" ;; # CPU_PA_RISC1_1
581 532) # CPU_PA_RISC2_0
582 case "${sc_kernel_bits}" in
583 32) HP_ARCH="hppa2.0n" ;;
584 64) HP_ARCH="hppa2.0w" ;;
600 sc_kernel_bits=`/usr/bin/getconf SC_KERNEL_BITS 2>/dev/null`
601 case "${sc_cpu_version}" in
602 523) HP_ARCH="hppa1.0" ;; # CPU_PA_RISC1_0
603 528) HP_ARCH="hppa1.1" ;; # CPU_PA_RISC1_1
604 532) # CPU_PA_RISC2_0
605 case "${sc_kernel_bits}" in
606 32) HP_ARCH="hppa2.0n" ;;
607 64) HP_ARCH="hppa2.0w" ;;
585608 '') HP_ARCH="hppa2.0" ;; # HP-UX 10.20
586 esac ;;
587 esac
609 esac ;;
610 esac
588611 fi
589612 if [ "${HP_ARCH}" = "" ]; then
590613 eval $set_cc_for_build
591 sed 's/^ //' << EOF >$dummy.c
592
593 #define _HPUX_SOURCE
594 #include <stdlib.h>
595 #include <unistd.h>
596
597 int main ()
598 {
599 #if defined(_SC_KERNEL_BITS)
600 long bits = sysconf(_SC_KERNEL_BITS);
601 #endif
602 long cpu = sysconf (_SC_CPU_VERSION);
603
604 switch (cpu)
605 {
606 case CPU_PA_RISC1_0: puts ("hppa1.0"); break;
607 case CPU_PA_RISC1_1: puts ("hppa1.1"); break;
608 case CPU_PA_RISC2_0:
609 #if defined(_SC_KERNEL_BITS)
610 switch (bits)
611 {
612 case 64: puts ("hppa2.0w"); break;
613 case 32: puts ("hppa2.0n"); break;
614 default: puts ("hppa2.0"); break;
615 } break;
616 #else /* !defined(_SC_KERNEL_BITS) */
617 puts ("hppa2.0"); break;
618 #endif
619 default: puts ("hppa1.0"); break;
620 }
621 exit (0);
622 }
614 sed 's/^ //' << EOF >$dummy.c
615
616 #define _HPUX_SOURCE
617 #include <stdlib.h>
618 #include <unistd.h>
619
620 int main ()
621 {
622 #if defined(_SC_KERNEL_BITS)
623 long bits = sysconf(_SC_KERNEL_BITS);
624 #endif
625 long cpu = sysconf (_SC_CPU_VERSION);
626
627 switch (cpu)
628 {
629 case CPU_PA_RISC1_0: puts ("hppa1.0"); break;
630 case CPU_PA_RISC1_1: puts ("hppa1.1"); break;
631 case CPU_PA_RISC2_0:
632 #if defined(_SC_KERNEL_BITS)
633 switch (bits)
634 {
635 case 64: puts ("hppa2.0w"); break;
636 case 32: puts ("hppa2.0n"); break;
637 default: puts ("hppa2.0"); break;
638 } break;
639 #else /* !defined(_SC_KERNEL_BITS) */
640 puts ("hppa2.0"); break;
641 #endif
642 default: puts ("hppa1.0"); break;
643 }
644 exit (0);
645 }
623646 EOF
624647 (CCOPTS= $CC_FOR_BUILD -o $dummy $dummy.c 2>/dev/null) && HP_ARCH=`$dummy`
625648 test -z "$HP_ARCH" && HP_ARCH=hppa
639662 # => hppa64-hp-hpux11.23
640663
641664 if echo __LP64__ | (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) |
642 grep __LP64__ >/dev/null
665 grep -q __LP64__
643666 then
644667 HP_ARCH="hppa2.0w"
645668 else
710733 exit ;;
711734 C1*:ConvexOS:*:* | convex:ConvexOS:C1*:*)
712735 echo c1-convex-bsd
713 exit ;;
736 exit ;;
714737 C2*:ConvexOS:*:* | convex:ConvexOS:C2*:*)
715738 if getsysinfo -f scalar_acc
716739 then echo c32-convex-bsd
717740 else echo c2-convex-bsd
718741 fi
719 exit ;;
742 exit ;;
720743 C34*:ConvexOS:*:* | convex:ConvexOS:C34*:*)
721744 echo c34-convex-bsd
722 exit ;;
745 exit ;;
723746 C38*:ConvexOS:*:* | convex:ConvexOS:C38*:*)
724747 echo c38-convex-bsd
725 exit ;;
748 exit ;;
726749 C4*:ConvexOS:*:* | convex:ConvexOS:C4*:*)
727750 echo c4-convex-bsd
728 exit ;;
751 exit ;;
729752 CRAY*Y-MP:*:*:*)
730753 echo ymp-cray-unicos${UNAME_RELEASE} | sed -e 's/\.[^.]*$/.X/'
731754 exit ;;
749772 exit ;;
750773 F30[01]:UNIX_System_V:*:* | F700:UNIX_System_V:*:*)
751774 FUJITSU_PROC=`uname -m | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz'`
752 FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
753 FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'`
754 echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
755 exit ;;
775 FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
776 FUJITSU_REL=`echo ${UNAME_RELEASE} | sed -e 's/ /_/'`
777 echo "${FUJITSU_PROC}-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
778 exit ;;
756779 5000:UNIX_System_V:4.*:*)
757 FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
758 FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'`
759 echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
780 FUJITSU_SYS=`uname -p | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/\///'`
781 FUJITSU_REL=`echo ${UNAME_RELEASE} | tr 'ABCDEFGHIJKLMNOPQRSTUVWXYZ' 'abcdefghijklmnopqrstuvwxyz' | sed -e 's/ /_/'`
782 echo "sparc-fujitsu-${FUJITSU_SYS}${FUJITSU_REL}"
760783 exit ;;
761784 i*86:BSD/386:*:* | i*86:BSD/OS:*:* | *:Ascend\ Embedded/OS:*:*)
762785 echo ${UNAME_MACHINE}-pc-bsdi${UNAME_RELEASE}
768791 echo ${UNAME_MACHINE}-unknown-bsdi${UNAME_RELEASE}
769792 exit ;;
770793 *:FreeBSD:*:*)
771 case ${UNAME_MACHINE} in
772 pc98)
773 echo i386-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
794 UNAME_PROCESSOR=`/usr/bin/uname -p`
795 case ${UNAME_PROCESSOR} in
774796 amd64)
775797 echo x86_64-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
776798 *)
777 echo ${UNAME_MACHINE}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
799 echo ${UNAME_PROCESSOR}-unknown-freebsd`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'` ;;
778800 esac
779801 exit ;;
780802 i*:CYGWIN*:*)
781803 echo ${UNAME_MACHINE}-pc-cygwin
782804 exit ;;
805 *:MINGW64*:*)
806 echo ${UNAME_MACHINE}-pc-mingw64
807 exit ;;
783808 *:MINGW*:*)
784809 echo ${UNAME_MACHINE}-pc-mingw32
785810 exit ;;
811 i*:MSYS*:*)
812 echo ${UNAME_MACHINE}-pc-msys
813 exit ;;
786814 i*:windows32*:*)
787 # uname -m includes "-pc" on this system.
788 echo ${UNAME_MACHINE}-mingw32
815 # uname -m includes "-pc" on this system.
816 echo ${UNAME_MACHINE}-mingw32
789817 exit ;;
790818 i*:PW*:*)
791819 echo ${UNAME_MACHINE}-pc-pw32
792820 exit ;;
793 *:Interix*:[3456]*)
794 case ${UNAME_MACHINE} in
821 *:Interix*:*)
822 case ${UNAME_MACHINE} in
795823 x86)
796824 echo i586-pc-interix${UNAME_RELEASE}
797825 exit ;;
798 EM64T | authenticamd)
826 authenticamd | genuineintel | EM64T)
799827 echo x86_64-unknown-interix${UNAME_RELEASE}
800828 exit ;;
801829 IA64)
805833 [345]86:Windows_95:* | [345]86:Windows_98:* | [345]86:Windows_NT:*)
806834 echo i${UNAME_MACHINE}-pc-mks
807835 exit ;;
836 8664:Windows_NT:*)
837 echo x86_64-pc-mks
838 exit ;;
808839 i*:Windows_NT*:* | Pentium*:Windows_NT*:*)
809840 # How do we know it's Interix rather than the generic POSIX subsystem?
810841 # It also conflicts with pre-2.0 versions of AT&T UWIN. Should we
834865 i*86:Minix:*:*)
835866 echo ${UNAME_MACHINE}-pc-minix
836867 exit ;;
837 arm*:Linux:*:*)
838 eval $set_cc_for_build
839 if echo __ARM_EABI__ | $CC_FOR_BUILD -E - 2>/dev/null \
840 | grep -q __ARM_EABI__
841 then
842 echo ${UNAME_MACHINE}-unknown-linux-gnu
843 else
844 echo ${UNAME_MACHINE}-unknown-linux-gnueabi
845 fi
846 exit ;;
847 avr32*:Linux:*:*)
868 aarch64:Linux:*:*)
848869 echo ${UNAME_MACHINE}-unknown-linux-gnu
849870 exit ;;
850 cris:Linux:*:*)
851 echo cris-axis-linux-gnu
852 exit ;;
853 crisv32:Linux:*:*)
854 echo crisv32-axis-linux-gnu
855 exit ;;
856 frv:Linux:*:*)
857 echo frv-unknown-linux-gnu
858 exit ;;
859 ia64:Linux:*:*)
871 aarch64_be:Linux:*:*)
872 UNAME_MACHINE=aarch64_be
860873 echo ${UNAME_MACHINE}-unknown-linux-gnu
861 exit ;;
862 m32r*:Linux:*:*)
863 echo ${UNAME_MACHINE}-unknown-linux-gnu
864 exit ;;
865 m68*:Linux:*:*)
866 echo ${UNAME_MACHINE}-unknown-linux-gnu
867 exit ;;
868 mips:Linux:*:*)
869 eval $set_cc_for_build
870 sed 's/^ //' << EOF >$dummy.c
871 #undef CPU
872 #undef mips
873 #undef mipsel
874 #if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL)
875 CPU=mipsel
876 #else
877 #if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB)
878 CPU=mips
879 #else
880 CPU=
881 #endif
882 #endif
883 EOF
884 eval "`$CC_FOR_BUILD -E $dummy.c 2>/dev/null | sed -n '
885 /^CPU/{
886 s: ::g
887 p
888 }'`"
889 test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; }
890 ;;
891 mips64:Linux:*:*)
892 eval $set_cc_for_build
893 sed 's/^ //' << EOF >$dummy.c
894 #undef CPU
895 #undef mips64
896 #undef mips64el
897 #if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL)
898 CPU=mips64el
899 #else
900 #if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB)
901 CPU=mips64
902 #else
903 CPU=
904 #endif
905 #endif
906 EOF
907 eval "`$CC_FOR_BUILD -E $dummy.c 2>/dev/null | sed -n '
908 /^CPU/{
909 s: ::g
910 p
911 }'`"
912 test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; }
913 ;;
914 or32:Linux:*:*)
915 echo or32-unknown-linux-gnu
916 exit ;;
917 ppc:Linux:*:*)
918 echo powerpc-unknown-linux-gnu
919 exit ;;
920 ppc64:Linux:*:*)
921 echo powerpc64-unknown-linux-gnu
922874 exit ;;
923875 alpha:Linux:*:*)
924876 case `sed -n '/^cpu model/s/^.*: \(.*\)/\1/p' < /proc/cpuinfo` in
929881 EV6) UNAME_MACHINE=alphaev6 ;;
930882 EV67) UNAME_MACHINE=alphaev67 ;;
931883 EV68*) UNAME_MACHINE=alphaev68 ;;
932 esac
933 objdump --private-headers /bin/sh | grep ld.so.1 >/dev/null
884 esac
885 objdump --private-headers /bin/sh | grep -q ld.so.1
934886 if test "$?" = 0 ; then LIBC="libc1" ; else LIBC="" ; fi
935887 echo ${UNAME_MACHINE}-unknown-linux-gnu${LIBC}
888 exit ;;
889 arm*:Linux:*:*)
890 eval $set_cc_for_build
891 if echo __ARM_EABI__ | $CC_FOR_BUILD -E - 2>/dev/null \
892 | grep -q __ARM_EABI__
893 then
894 echo ${UNAME_MACHINE}-unknown-linux-gnu
895 else
896 if echo __ARM_PCS_VFP | $CC_FOR_BUILD -E - 2>/dev/null \
897 | grep -q __ARM_PCS_VFP
898 then
899 echo ${UNAME_MACHINE}-unknown-linux-gnueabi
900 else
901 echo ${UNAME_MACHINE}-unknown-linux-gnueabihf
902 fi
903 fi
904 exit ;;
905 avr32*:Linux:*:*)
906 echo ${UNAME_MACHINE}-unknown-linux-gnu
907 exit ;;
908 cris:Linux:*:*)
909 echo ${UNAME_MACHINE}-axis-linux-gnu
910 exit ;;
911 crisv32:Linux:*:*)
912 echo ${UNAME_MACHINE}-axis-linux-gnu
913 exit ;;
914 frv:Linux:*:*)
915 echo ${UNAME_MACHINE}-unknown-linux-gnu
916 exit ;;
917 hexagon:Linux:*:*)
918 echo ${UNAME_MACHINE}-unknown-linux-gnu
919 exit ;;
920 i*86:Linux:*:*)
921 LIBC=gnu
922 eval $set_cc_for_build
923 sed 's/^ //' << EOF >$dummy.c
924 #ifdef __dietlibc__
925 LIBC=dietlibc
926 #endif
927 EOF
928 eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^LIBC'`
929 echo "${UNAME_MACHINE}-pc-linux-${LIBC}"
930 exit ;;
931 ia64:Linux:*:*)
932 echo ${UNAME_MACHINE}-unknown-linux-gnu
933 exit ;;
934 m32r*:Linux:*:*)
935 echo ${UNAME_MACHINE}-unknown-linux-gnu
936 exit ;;
937 m68*:Linux:*:*)
938 echo ${UNAME_MACHINE}-unknown-linux-gnu
939 exit ;;
940 mips:Linux:*:* | mips64:Linux:*:*)
941 eval $set_cc_for_build
942 sed 's/^ //' << EOF >$dummy.c
943 #undef CPU
944 #undef ${UNAME_MACHINE}
945 #undef ${UNAME_MACHINE}el
946 #if defined(__MIPSEL__) || defined(__MIPSEL) || defined(_MIPSEL) || defined(MIPSEL)
947 CPU=${UNAME_MACHINE}el
948 #else
949 #if defined(__MIPSEB__) || defined(__MIPSEB) || defined(_MIPSEB) || defined(MIPSEB)
950 CPU=${UNAME_MACHINE}
951 #else
952 CPU=
953 #endif
954 #endif
955 EOF
956 eval `$CC_FOR_BUILD -E $dummy.c 2>/dev/null | grep '^CPU'`
957 test x"${CPU}" != x && { echo "${CPU}-unknown-linux-gnu"; exit; }
958 ;;
959 or32:Linux:*:*)
960 echo ${UNAME_MACHINE}-unknown-linux-gnu
961 exit ;;
962 padre:Linux:*:*)
963 echo sparc-unknown-linux-gnu
964 exit ;;
965 parisc64:Linux:*:* | hppa64:Linux:*:*)
966 echo hppa64-unknown-linux-gnu
936967 exit ;;
937968 parisc:Linux:*:* | hppa:Linux:*:*)
938969 # Look for CPU level
942973 *) echo hppa-unknown-linux-gnu ;;
943974 esac
944975 exit ;;
945 parisc64:Linux:*:* | hppa64:Linux:*:*)
946 echo hppa64-unknown-linux-gnu
976 ppc64:Linux:*:*)
977 echo powerpc64-unknown-linux-gnu
978 exit ;;
979 ppc:Linux:*:*)
980 echo powerpc-unknown-linux-gnu
947981 exit ;;
948982 s390:Linux:*:* | s390x:Linux:*:*)
949983 echo ${UNAME_MACHINE}-ibm-linux
950984 exit ;;
951985 sh64*:Linux:*:*)
952 echo ${UNAME_MACHINE}-unknown-linux-gnu
986 echo ${UNAME_MACHINE}-unknown-linux-gnu
953987 exit ;;
954988 sh*:Linux:*:*)
955989 echo ${UNAME_MACHINE}-unknown-linux-gnu
957991 sparc:Linux:*:* | sparc64:Linux:*:*)
958992 echo ${UNAME_MACHINE}-unknown-linux-gnu
959993 exit ;;
994 tile*:Linux:*:*)
995 echo ${UNAME_MACHINE}-unknown-linux-gnu
996 exit ;;
960997 vax:Linux:*:*)
961998 echo ${UNAME_MACHINE}-dec-linux-gnu
962999 exit ;;
9631000 x86_64:Linux:*:*)
964 echo x86_64-unknown-linux-gnu
1001 echo ${UNAME_MACHINE}-unknown-linux-gnu
9651002 exit ;;
9661003 xtensa*:Linux:*:*)
967 echo ${UNAME_MACHINE}-unknown-linux-gnu
968 exit ;;
969 i*86:Linux:*:*)
970 # The BFD linker knows what the default object file format is, so
971 # first see if it will tell us. cd to the root directory to prevent
972 # problems with other programs or directories called `ld' in the path.
973 # Set LC_ALL=C to ensure ld outputs messages in English.
974 ld_supported_targets=`cd /; LC_ALL=C ld --help 2>&1 \
975 | sed -ne '/supported targets:/!d
976 s/[ ][ ]*/ /g
977 s/.*supported targets: *//
978 s/ .*//
979 p'`
980 case "$ld_supported_targets" in
981 elf32-i386)
982 TENTATIVE="${UNAME_MACHINE}-pc-linux-gnu"
983 ;;
984 a.out-i386-linux)
985 echo "${UNAME_MACHINE}-pc-linux-gnuaout"
986 exit ;;
987 coff-i386)
988 echo "${UNAME_MACHINE}-pc-linux-gnucoff"
989 exit ;;
990 "")
991 # Either a pre-BFD a.out linker (linux-gnuoldld) or
992 # one that does not give us useful --help.
993 echo "${UNAME_MACHINE}-pc-linux-gnuoldld"
994 exit ;;
995 esac
996 # Determine whether the default compiler is a.out or elf
997 eval $set_cc_for_build
998 sed 's/^ //' << EOF >$dummy.c
999 #include <features.h>
1000 #ifdef __ELF__
1001 # ifdef __GLIBC__
1002 # if __GLIBC__ >= 2
1003 LIBC=gnu
1004 # else
1005 LIBC=gnulibc1
1006 # endif
1007 # else
1008 LIBC=gnulibc1
1009 # endif
1010 #else
1011 #if defined(__INTEL_COMPILER) || defined(__PGI) || defined(__SUNPRO_C) || defined(__SUNPRO_CC)
1012 LIBC=gnu
1013 #else
1014 LIBC=gnuaout
1015 #endif
1016 #endif
1017 #ifdef __dietlibc__
1018 LIBC=dietlibc
1019 #endif
1020 EOF
1021 eval "`$CC_FOR_BUILD -E $dummy.c 2>/dev/null | sed -n '
1022 /^LIBC/{
1023 s: ::g
1024 p
1025 }'`"
1026 test x"${LIBC}" != x && {
1027 echo "${UNAME_MACHINE}-pc-linux-${LIBC}"
1028 exit
1029 }
1030 test x"${TENTATIVE}" != x && { echo "${TENTATIVE}"; exit; }
1031 ;;
1004 echo ${UNAME_MACHINE}-unknown-linux-gnu
1005 exit ;;
10321006 i*86:DYNIX/ptx:4*:*)
10331007 # ptx 4.0 does uname -s correctly, with DYNIX/ptx in there.
10341008 # earlier versions are messed up and put the nodename in both
10361010 echo i386-sequent-sysv4
10371011 exit ;;
10381012 i*86:UNIX_SV:4.2MP:2.*)
1039 # Unixware is an offshoot of SVR4, but it has its own version
1040 # number series starting with 2...
1041 # I am not positive that other SVR4 systems won't match this,
1013 # Unixware is an offshoot of SVR4, but it has its own version
1014 # number series starting with 2...
1015 # I am not positive that other SVR4 systems won't match this,
10421016 # I just have to hope. -- rms.
1043 # Use sysv4.2uw... so that sysv4* matches it.
1017 # Use sysv4.2uw... so that sysv4* matches it.
10441018 echo ${UNAME_MACHINE}-pc-sysv4.2uw${UNAME_VERSION}
10451019 exit ;;
10461020 i*86:OS/2:*:*)
10571031 i*86:syllable:*:*)
10581032 echo ${UNAME_MACHINE}-pc-syllable
10591033 exit ;;
1060 i*86:LynxOS:2.*:* | i*86:LynxOS:3.[01]*:* | i*86:LynxOS:4.0*:*)
1034 i*86:LynxOS:2.*:* | i*86:LynxOS:3.[01]*:* | i*86:LynxOS:4.[02]*:*)
10611035 echo i386-unknown-lynxos${UNAME_RELEASE}
10621036 exit ;;
10631037 i*86:*DOS:*:*)
10721046 fi
10731047 exit ;;
10741048 i*86:*:5:[678]*)
1075 # UnixWare 7.x, OpenUNIX and OpenServer 6.
1049 # UnixWare 7.x, OpenUNIX and OpenServer 6.
10761050 case `/bin/uname -X | grep "^Machine"` in
10771051 *486*) UNAME_MACHINE=i486 ;;
10781052 *Pentium) UNAME_MACHINE=i586 ;;
11001074 exit ;;
11011075 pc:*:*:*)
11021076 # Left here for compatibility:
1103 # uname -m prints for DJGPP always 'pc', but it prints nothing about
1104 # the processor, so we play safe by assuming i386.
1105 echo i386-pc-msdosdjgpp
1106 exit ;;
1077 # uname -m prints for DJGPP always 'pc', but it prints nothing about
1078 # the processor, so we play safe by assuming i586.
1079 # Note: whatever this is, it MUST be the same as what config.sub
1080 # prints for the "djgpp" host, or else GDB configury will decide that
1081 # this is a cross-build.
1082 echo i586-pc-msdosdjgpp
1083 exit ;;
11071084 Intel:Mach:3*:*)
11081085 echo i386-pc-mach3
11091086 exit ;;
11381115 /bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \
11391116 && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;;
11401117 3[34]??:*:4.0:* | 3[34]??,*:*:4.0:*)
1141 /bin/uname -p 2>/dev/null | grep 86 >/dev/null \
1142 && { echo i486-ncr-sysv4; exit; } ;;
1118 /bin/uname -p 2>/dev/null | grep 86 >/dev/null \
1119 && { echo i486-ncr-sysv4; exit; } ;;
1120 NCR*:*:4.2:* | MPRAS*:*:4.2:*)
1121 OS_REL='.3'
1122 test -r /etc/.relid \
1123 && OS_REL=.`sed -n 's/[^ ]* [^ ]* \([0-9][0-9]\).*/\1/p' < /etc/.relid`
1124 /bin/uname -p 2>/dev/null | grep 86 >/dev/null \
1125 && { echo i486-ncr-sysv4.3${OS_REL}; exit; }
1126 /bin/uname -p 2>/dev/null | /bin/grep entium >/dev/null \
1127 && { echo i586-ncr-sysv4.3${OS_REL}; exit; }
1128 /bin/uname -p 2>/dev/null | /bin/grep pteron >/dev/null \
1129 && { echo i586-ncr-sysv4.3${OS_REL}; exit; } ;;
11431130 m68*:LynxOS:2.*:* | m68*:LynxOS:3.0*:*)
11441131 echo m68k-unknown-lynxos${UNAME_RELEASE}
11451132 exit ;;
11521139 rs6000:LynxOS:2.*:*)
11531140 echo rs6000-unknown-lynxos${UNAME_RELEASE}
11541141 exit ;;
1155 PowerPC:LynxOS:2.*:* | PowerPC:LynxOS:3.[01]*:* | PowerPC:LynxOS:4.0*:*)
1142 PowerPC:LynxOS:2.*:* | PowerPC:LynxOS:3.[01]*:* | PowerPC:LynxOS:4.[02]*:*)
11561143 echo powerpc-unknown-lynxos${UNAME_RELEASE}
11571144 exit ;;
11581145 SM[BE]S:UNIX_SV:*:*)
11721159 echo ns32k-sni-sysv
11731160 fi
11741161 exit ;;
1175 PENTIUM:*:4.0*:*) # Unisys `ClearPath HMP IX 4000' SVR4/MP effort
1176 # says <Richard.M.Bartel@ccMail.Census.GOV>
1177 echo i586-unisys-sysv4
1178 exit ;;
1162 PENTIUM:*:4.0*:*) # Unisys `ClearPath HMP IX 4000' SVR4/MP effort
1163 # says <Richard.M.Bartel@ccMail.Census.GOV>
1164 echo i586-unisys-sysv4
1165 exit ;;
11791166 *:UNIX_System_V:4*:FTX*)
11801167 # From Gerald Hewes <hewes@openmarket.com>.
11811168 # How about differentiating between stratus architectures? -djm
12011188 exit ;;
12021189 R[34]000:*System_V*:*:* | R4000:UNIX_SYSV:*:* | R*000:UNIX_SV:*:*)
12031190 if [ -d /usr/nec ]; then
1204 echo mips-nec-sysv${UNAME_RELEASE}
1191 echo mips-nec-sysv${UNAME_RELEASE}
12051192 else
1206 echo mips-unknown-sysv${UNAME_RELEASE}
1207 fi
1208 exit ;;
1193 echo mips-unknown-sysv${UNAME_RELEASE}
1194 fi
1195 exit ;;
12091196 BeBox:BeOS:*:*) # BeOS running on hardware made by Be, PPC only.
12101197 echo powerpc-be-beos
12111198 exit ;;
12141201 exit ;;
12151202 BePC:BeOS:*:*) # BeOS running on Intel PC compatible.
12161203 echo i586-pc-beos
1204 exit ;;
1205 BePC:Haiku:*:*) # Haiku running on Intel PC compatible.
1206 echo i586-pc-haiku
1207 exit ;;
1208 x86_64:Haiku:*:*)
1209 echo x86_64-unknown-haiku
12171210 exit ;;
12181211 SX-4:SUPER-UX:*:*)
12191212 echo sx4-nec-superux${UNAME_RELEASE}
12421235 *:Darwin:*:*)
12431236 UNAME_PROCESSOR=`uname -p` || UNAME_PROCESSOR=unknown
12441237 case $UNAME_PROCESSOR in
1238 i386)
1239 eval $set_cc_for_build
1240 if [ "$CC_FOR_BUILD" != 'no_compiler_found' ]; then
1241 if (echo '#ifdef __LP64__'; echo IS_64BIT_ARCH; echo '#endif') | \
1242 (CCOPTS= $CC_FOR_BUILD -E - 2>/dev/null) | \
1243 grep IS_64BIT_ARCH >/dev/null
1244 then
1245 UNAME_PROCESSOR="x86_64"
1246 fi
1247 fi ;;
12451248 unknown) UNAME_PROCESSOR=powerpc ;;
12461249 esac
12471250 echo ${UNAME_PROCESSOR}-apple-darwin${UNAME_RELEASE}
12571260 *:QNX:*:4*)
12581261 echo i386-pc-qnx
12591262 exit ;;
1260 NSE-?:NONSTOP_KERNEL:*:*)
1263 NEO-?:NONSTOP_KERNEL:*:*)
1264 echo neo-tandem-nsk${UNAME_RELEASE}
1265 exit ;;
1266 NSE-*:NONSTOP_KERNEL:*:*)
12611267 echo nse-tandem-nsk${UNAME_RELEASE}
12621268 exit ;;
12631269 NSR-?:NONSTOP_KERNEL:*:*)
13021308 echo pdp10-unknown-its
13031309 exit ;;
13041310 SEI:*:*:SEIUX)
1305 echo mips-sei-seiux${UNAME_RELEASE}
1311 echo mips-sei-seiux${UNAME_RELEASE}
13061312 exit ;;
13071313 *:DragonFly:*:*)
13081314 echo ${UNAME_MACHINE}-unknown-dragonfly`echo ${UNAME_RELEASE}|sed -e 's/[-(].*//'`
13091315 exit ;;
13101316 *:*VMS:*:*)
1311 UNAME_MACHINE=`(uname -p) 2>/dev/null`
1317 UNAME_MACHINE=`(uname -p) 2>/dev/null`
13121318 case "${UNAME_MACHINE}" in
13131319 A*) echo alpha-dec-vms ; exit ;;
13141320 I*) echo ia64-dec-vms ; exit ;;
13231329 i*86:rdos:*:*)
13241330 echo ${UNAME_MACHINE}-pc-rdos
13251331 exit ;;
1332 i*86:AROS:*:*)
1333 echo ${UNAME_MACHINE}-pc-aros
1334 exit ;;
1335 x86_64:VMkernel:*:*)
1336 echo ${UNAME_MACHINE}-unknown-esx
1337 exit ;;
13261338 esac
1327
1328 #echo '(No uname command or uname output not recognized.)' 1>&2
1329 #echo "${UNAME_MACHINE}:${UNAME_SYSTEM}:${UNAME_RELEASE}:${UNAME_VERSION}" 1>&2
13301339
13311340 eval $set_cc_for_build
13321341 cat >$dummy.c <<EOF
13451354 #include <sys/param.h>
13461355 printf ("m68k-sony-newsos%s\n",
13471356 #ifdef NEWSOS4
1348 "4"
1357 "4"
13491358 #else
1350 ""
1351 #endif
1352 ); exit (0);
1359 ""
1360 #endif
1361 ); exit (0);
13531362 #endif
13541363 #endif
13551364
177177 */
178178 #undef HAVE_SYS_NDIR_H
179179
180 /* Define to 1 if you have the <sys/param.h> header file. */
181 #undef HAVE_SYS_PARAM_H
182
180183 /* Define to 1 if you have the <sys/select.h> header file. */
181184 #undef HAVE_SYS_SELECT_H
182185
229232 slash. */
230233 #undef LSTAT_FOLLOWS_SLASHED_SYMLINK
231234
235 /* Define to 1 if your C compiler doesn't accept -c and -o together. */
236 #undef NO_MINUS_C_MINUS_O
237
232238 /* Name of package */
233239 #undef PACKAGE
234240
243249
244250 /* Define to the one symbol short name of this package. */
245251 #undef PACKAGE_TARNAME
252
253 /* Define to the home page for this package. */
254 #undef PACKAGE_URL
246255
247256 /* Define to the version of this package. */
248257 #undef PACKAGE_VERSION
292301 `char[]'. */
293302 #undef YYTEXT_POINTER
294303
304 /* Enable large inode numbers on Mac OS X 10.5. */
305 #ifndef _DARWIN_USE_64_BIT_INODE
306 # define _DARWIN_USE_64_BIT_INODE 1
307 #endif
308
295309 /* Number of bits in a file offset, on hosts where this is settable. */
296310 #undef _FILE_OFFSET_BITS
297311
00 #! /bin/sh
11 # Configuration validation subroutine script.
22 # Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999,
3 # 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008
4 # Free Software Foundation, Inc.
5
6 timestamp='2008-01-16'
7
8 # This file is (in principle) common to ALL GNU software.
9 # The presence of a machine in this file suggests that SOME GNU software
10 # can handle that machine. It does not imply ALL GNU software can.
11 #
12 # This file is free software; you can redistribute it and/or modify
13 # it under the terms of the GNU General Public License as published by
14 # the Free Software Foundation; either version 2 of the License, or
3 # 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010,
4 # 2011, 2012, 2013 Free Software Foundation, Inc.
5
6 timestamp='2012-12-29'
7
8 # This file is free software; you can redistribute it and/or modify it
9 # under the terms of the GNU General Public License as published by
10 # the Free Software Foundation; either version 3 of the License, or
1511 # (at your option) any later version.
1612 #
17 # This program is distributed in the hope that it will be useful,
18 # but WITHOUT ANY WARRANTY; without even the implied warranty of
19 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
20 # GNU General Public License for more details.
13 # This program is distributed in the hope that it will be useful, but
14 # WITHOUT ANY WARRANTY; without even the implied warranty of
15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
16 # General Public License for more details.
2117 #
2218 # You should have received a copy of the GNU General Public License
23 # along with this program; if not, write to the Free Software
24 # Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston, MA
25 # 02110-1301, USA.
19 # along with this program; if not, see <http://www.gnu.org/licenses/>.
2620 #
2721 # As a special exception to the GNU General Public License, if you
2822 # distribute this file as part of a program that contains a
2923 # configuration script generated by Autoconf, you may include it under
30 # the same distribution terms that you use for the rest of that program.
31
32
33 # Please send patches to <config-patches@gnu.org>. Submit a context
34 # diff and a properly formatted ChangeLog entry.
24 # the same distribution terms that you use for the rest of that
25 # program. This Exception is an additional permission under section 7
26 # of the GNU General Public License, version 3 ("GPLv3").
27
28
29 # Please send patches with a ChangeLog entry to config-patches@gnu.org.
3530 #
3631 # Configuration subroutine to validate and canonicalize a configuration type.
3732 # Supply the specified configuration type as an argument.
3833 # If it is invalid, we print an error message on stderr and exit with code 1.
3934 # Otherwise, we print the canonical config type on stdout and succeed.
35
36 # You can get the latest version of this script from:
37 # http://git.savannah.gnu.org/gitweb/?p=config.git;a=blob_plain;f=config.sub;hb=HEAD
4038
4139 # This file is supposed to be the same for all GNU packages
4240 # and recognize all the CPU types, system types and aliases
7169 version="\
7270 GNU config.sub ($timestamp)
7371
74 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001,
75 2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
72 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000,
73 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011,
74 2012, 2013 Free Software Foundation, Inc.
7675
7776 This is free software; see the source for copying conditions. There is NO
7877 warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE."
119118 # Here we must recognize all the valid KERNEL-OS combinations.
120119 maybe_os=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\2/'`
121120 case $maybe_os in
122 nto-qnx* | linux-gnu* | linux-dietlibc | linux-newlib* | linux-uclibc* | \
123 uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | knetbsd*-gnu* | netbsd*-gnu* | \
121 nto-qnx* | linux-gnu* | linux-android* | linux-dietlibc | linux-newlib* | \
122 linux-musl* | linux-uclibc* | uclinux-uclibc* | uclinux-gnu* | kfreebsd*-gnu* | \
123 knetbsd*-gnu* | netbsd*-gnu* | \
124 kopensolaris*-gnu* | \
124125 storm-chaos* | os2-emx* | rtmk-nova*)
125126 os=-$maybe_os
126127 basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`
128 ;;
129 android-linux)
130 os=-linux-android
131 basic_machine=`echo $1 | sed 's/^\(.*\)-\([^-]*-[^-]*\)$/\1/'`-unknown
127132 ;;
128133 *)
129134 basic_machine=`echo $1 | sed 's/-[^-]*$//'`
147152 -convergent* | -ncr* | -news | -32* | -3600* | -3100* | -hitachi* |\
148153 -c[123]* | -convex* | -sun | -crds | -omron* | -dg | -ultra | -tti* | \
149154 -harris | -dolphin | -highlevel | -gould | -cbm | -ns | -masscomp | \
150 -apple | -axis | -knuth | -cray)
155 -apple | -axis | -knuth | -cray | -microblaze*)
151156 os=
152157 basic_machine=$1
158 ;;
159 -bluegene*)
160 os=-cnk
153161 ;;
154162 -sim | -cisco | -oki | -wec | -winbond)
155163 os=
165173 os=-chorusos
166174 basic_machine=$1
167175 ;;
168 -chorusrdb)
169 os=-chorusrdb
176 -chorusrdb)
177 os=-chorusrdb
170178 basic_machine=$1
171 ;;
179 ;;
172180 -hiux*)
173181 os=-hiuxwe2
174182 ;;
212220 ;;
213221 -isc*)
214222 basic_machine=`echo $1 | sed -e 's/86-.*/86-pc/'`
223 ;;
224 -lynx*178)
225 os=-lynxos178
226 ;;
227 -lynx*5)
228 os=-lynxos5
215229 ;;
216230 -lynx*)
217231 os=-lynxos
237251 # Some are omitted here because they have special meanings below.
238252 1750a | 580 \
239253 | a29k \
254 | aarch64 | aarch64_be \
240255 | alpha | alphaev[4-8] | alphaev56 | alphaev6[78] | alphapca5[67] \
241256 | alpha64 | alpha64ev[4-8] | alpha64ev56 | alpha64ev6[78] | alpha64pca5[67] \
242257 | am33_2.0 \
243 | arc | arm | arm[bl]e | arme[lb] | armv[2345] | armv[345][lb] | avr | avr32 \
258 | arc \
259 | arm | arm[bl]e | arme[lb] | armv[2-8] | armv[3-8][lb] | armv7[arm] \
260 | avr | avr32 \
261 | be32 | be64 \
244262 | bfin \
245263 | c4x | clipper \
246264 | d10v | d30v | dlx | dsp16xx \
265 | epiphany \
247266 | fido | fr30 | frv \
248267 | h8300 | h8500 | hppa | hppa1.[01] | hppa2.0 | hppa2.0[nw] | hppa64 \
268 | hexagon \
249269 | i370 | i860 | i960 | ia64 \
250270 | ip2k | iq2000 \
271 | le32 | le64 \
272 | lm32 \
251273 | m32c | m32r | m32rle | m68000 | m68k | m88k \
252 | maxq | mb | microblaze | mcore | mep \
274 | maxq | mb | microblaze | microblazeel | mcore | mep | metag \
253275 | mips | mipsbe | mipseb | mipsel | mipsle \
254276 | mips16 \
255277 | mips64 | mips64el \
278 | mips64octeon | mips64octeonel \
279 | mips64orion | mips64orionel \
280 | mips64r5900 | mips64r5900el \
256281 | mips64vr | mips64vrel \
257 | mips64orion | mips64orionel \
258282 | mips64vr4100 | mips64vr4100el \
259283 | mips64vr4300 | mips64vr4300el \
260284 | mips64vr5000 | mips64vr5000el \
267291 | mipsisa64sr71k | mipsisa64sr71kel \
268292 | mipstx39 | mipstx39el \
269293 | mn10200 | mn10300 \
294 | moxie \
270295 | mt \
271296 | msp430 \
297 | nds32 | nds32le | nds32be \
272298 | nios | nios2 \
273299 | ns16k | ns32k \
300 | open8 \
274301 | or32 \
275302 | pdp10 | pdp11 | pj | pjl \
276 | powerpc | powerpc64 | powerpc64le | powerpcle | ppcbe \
303 | powerpc | powerpc64 | powerpc64le | powerpcle \
277304 | pyramid \
305 | rl78 | rx \
278306 | score \
279 | sh | sh[1234] | sh[24]a | sh[23]e | sh[34]eb | sheb | shbe | shle | sh[1234]le | sh3ele \
307 | sh | sh[1234] | sh[24]a | sh[24]aeb | sh[23]e | sh[34]eb | sheb | shbe | shle | sh[1234]le | sh3ele \
280308 | sh64 | sh64le \
281309 | sparc | sparc64 | sparc64b | sparc64v | sparc86x | sparclet | sparclite \
282310 | sparcv8 | sparcv9 | sparcv9b | sparcv9v \
283 | spu | strongarm \
284 | tahoe | thumb | tic4x | tic80 | tron \
285 | v850 | v850e \
311 | spu \
312 | tahoe | tic4x | tic54x | tic55x | tic6x | tic80 | tron \
313 | ubicom32 \
314 | v850 | v850e | v850e1 | v850e2 | v850es | v850e2v3 \
286315 | we32k \
287 | x86 | xc16x | xscale | xscalee[bl] | xstormy16 | xtensa \
288 | z8k)
316 | x86 | xc16x | xstormy16 | xtensa \
317 | z8k | z80)
289318 basic_machine=$basic_machine-unknown
290319 ;;
291 m6811 | m68hc11 | m6812 | m68hc12)
292 # Motorola 68HC11/12.
320 c54x)
321 basic_machine=tic54x-unknown
322 ;;
323 c55x)
324 basic_machine=tic55x-unknown
325 ;;
326 c6x)
327 basic_machine=tic6x-unknown
328 ;;
329 m6811 | m68hc11 | m6812 | m68hc12 | m68hcs12x | picochip)
293330 basic_machine=$basic_machine-unknown
294331 os=-none
295332 ;;
297334 ;;
298335 ms1)
299336 basic_machine=mt-unknown
337 ;;
338
339 strongarm | thumb | xscale)
340 basic_machine=arm-unknown
341 ;;
342 xgate)
343 basic_machine=$basic_machine-unknown
344 os=-none
345 ;;
346 xscaleeb)
347 basic_machine=armeb-unknown
348 ;;
349
350 xscaleel)
351 basic_machine=armel-unknown
300352 ;;
301353
302354 # We use `pc' rather than `unknown'
313365 # Recognize the basic CPU types with company name.
314366 580-* \
315367 | a29k-* \
368 | aarch64-* | aarch64_be-* \
316369 | alpha-* | alphaev[4-8]-* | alphaev56-* | alphaev6[78]-* \
317370 | alpha64-* | alpha64ev[4-8]-* | alpha64ev56-* | alpha64ev6[78]-* \
318371 | alphapca5[67]-* | alpha64pca5[67]-* | arc-* \
319372 | arm-* | armbe-* | armle-* | armeb-* | armv*-* \
320373 | avr-* | avr32-* \
374 | be32-* | be64-* \
321375 | bfin-* | bs2000-* \
322 | c[123]* | c30-* | [cjt]90-* | c4x-* | c54x-* | c55x-* | c6x-* \
376 | c[123]* | c30-* | [cjt]90-* | c4x-* \
323377 | clipper-* | craynv-* | cydra-* \
324378 | d10v-* | d30v-* | dlx-* \
325379 | elxsi-* \
326380 | f30[01]-* | f700-* | fido-* | fr30-* | frv-* | fx80-* \
327381 | h8300-* | h8500-* \
328382 | hppa-* | hppa1.[01]-* | hppa2.0-* | hppa2.0[nw]-* | hppa64-* \
383 | hexagon-* \
329384 | i*86-* | i860-* | i960-* | ia64-* \
330385 | ip2k-* | iq2000-* \
386 | le32-* | le64-* \
387 | lm32-* \
331388 | m32c-* | m32r-* | m32rle-* \
332389 | m68000-* | m680[012346]0-* | m68360-* | m683?2-* | m68k-* \
333 | m88110-* | m88k-* | maxq-* | mcore-* \
390 | m88110-* | m88k-* | maxq-* | mcore-* | metag-* \
391 | microblaze-* | microblazeel-* \
334392 | mips-* | mipsbe-* | mipseb-* | mipsel-* | mipsle-* \
335393 | mips16-* \
336394 | mips64-* | mips64el-* \
395 | mips64octeon-* | mips64octeonel-* \
396 | mips64orion-* | mips64orionel-* \
397 | mips64r5900-* | mips64r5900el-* \
337398 | mips64vr-* | mips64vrel-* \
338 | mips64orion-* | mips64orionel-* \
339399 | mips64vr4100-* | mips64vr4100el-* \
340400 | mips64vr4300-* | mips64vr4300el-* \
341401 | mips64vr5000-* | mips64vr5000el-* \
350410 | mmix-* \
351411 | mt-* \
352412 | msp430-* \
413 | nds32-* | nds32le-* | nds32be-* \
353414 | nios-* | nios2-* \
354415 | none-* | np1-* | ns16k-* | ns32k-* \
416 | open8-* \
355417 | orion-* \
356418 | pdp10-* | pdp11-* | pj-* | pjl-* | pn-* | power-* \
357 | powerpc-* | powerpc64-* | powerpc64le-* | powerpcle-* | ppcbe-* \
419 | powerpc-* | powerpc64-* | powerpc64le-* | powerpcle-* \
358420 | pyramid-* \
359 | romp-* | rs6000-* \
360 | sh-* | sh[1234]-* | sh[24]a-* | sh[23]e-* | sh[34]eb-* | sheb-* | shbe-* \
421 | rl78-* | romp-* | rs6000-* | rx-* \
422 | sh-* | sh[1234]-* | sh[24]a-* | sh[24]aeb-* | sh[23]e-* | sh[34]eb-* | sheb-* | shbe-* \
361423 | shle-* | sh[1234]le-* | sh3ele-* | sh64-* | sh64le-* \
362424 | sparc-* | sparc64-* | sparc64b-* | sparc64v-* | sparc86x-* | sparclet-* \
363425 | sparclite-* \
364 | sparcv8-* | sparcv9-* | sparcv9b-* | sparcv9v-* | strongarm-* | sv1-* | sx?-* \
365 | tahoe-* | thumb-* \
426 | sparcv8-* | sparcv9-* | sparcv9b-* | sparcv9v-* | sv1-* | sx?-* \
427 | tahoe-* \
366428 | tic30-* | tic4x-* | tic54x-* | tic55x-* | tic6x-* | tic80-* \
429 | tile*-* \
367430 | tron-* \
368 | v850-* | v850e-* | vax-* \
431 | ubicom32-* \
432 | v850-* | v850e-* | v850e1-* | v850es-* | v850e2-* | v850e2v3-* \
433 | vax-* \
369434 | we32k-* \
370 | x86-* | x86_64-* | xc16x-* | xps100-* | xscale-* | xscalee[bl]-* \
435 | x86-* | x86_64-* | xc16x-* | xps100-* \
371436 | xstormy16-* | xtensa*-* \
372437 | ymp-* \
373 | z8k-*)
438 | z8k-* | z80-*)
374439 ;;
375440 # Recognize the basic CPU types without company name, with glob match.
376441 xtensa*)
392457 basic_machine=a29k-amd
393458 os=-udi
394459 ;;
395 abacus)
460 abacus)
396461 basic_machine=abacus-unknown
397462 ;;
398463 adobe68k)
438503 basic_machine=m68k-apollo
439504 os=-bsd
440505 ;;
506 aros)
507 basic_machine=i386-pc
508 os=-aros
509 ;;
441510 aux)
442511 basic_machine=m68k-apple
443512 os=-aux
454523 basic_machine=bfin-`echo $basic_machine | sed 's/^[^-]*-//'`
455524 os=-linux
456525 ;;
526 bluegene*)
527 basic_machine=powerpc-ibm
528 os=-cnk
529 ;;
530 c54x-*)
531 basic_machine=tic54x-`echo $basic_machine | sed 's/^[^-]*-//'`
532 ;;
533 c55x-*)
534 basic_machine=tic55x-`echo $basic_machine | sed 's/^[^-]*-//'`
535 ;;
536 c6x-*)
537 basic_machine=tic6x-`echo $basic_machine | sed 's/^[^-]*-//'`
538 ;;
457539 c90)
458540 basic_machine=c90-cray
459541 os=-unicos
460542 ;;
543 cegcc)
544 basic_machine=arm-unknown
545 os=-cegcc
546 ;;
461547 convex-c1)
462548 basic_machine=c1-convex
463549 os=-bsd
486572 basic_machine=craynv-cray
487573 os=-unicosmp
488574 ;;
489 cr16)
575 cr16 | cr16-*)
490576 basic_machine=cr16-unknown
491577 os=-elf
492578 ;;
525611 basic_machine=m88k-motorola
526612 os=-sysv3
527613 ;;
614 dicos)
615 basic_machine=i686-pc
616 os=-dicos
617 ;;
528618 djgpp)
529619 basic_machine=i586-pc
530620 os=-msdosdjgpp
640730 i370-ibm* | ibm*)
641731 basic_machine=i370-ibm
642732 ;;
643 # I'm not sure what "Sysv32" means. Should this be sysv3.2?
644733 i*86v32)
645734 basic_machine=`echo $1 | sed -e 's/86.*/86-pc/'`
646735 os=-sysv32
698787 basic_machine=ns32k-utek
699788 os=-sysv
700789 ;;
790 microblaze*)
791 basic_machine=microblaze-xilinx
792 ;;
793 mingw64)
794 basic_machine=x86_64-pc
795 os=-mingw64
796 ;;
701797 mingw32)
702798 basic_machine=i386-pc
703799 os=-mingw32
734830 ms1-*)
735831 basic_machine=`echo $basic_machine | sed -e 's/ms1-/mt-/'`
736832 ;;
833 msys)
834 basic_machine=i386-pc
835 os=-msys
836 ;;
737837 mvs)
738838 basic_machine=i370-ibm
739839 os=-mvs
840 ;;
841 nacl)
842 basic_machine=le32-unknown
843 os=-nacl
740844 ;;
741845 ncr3000)
742846 basic_machine=i486-ncr
802906 np1)
803907 basic_machine=np1-gould
804908 ;;
909 neo-tandem)
910 basic_machine=neo-tandem
911 ;;
912 nse-tandem)
913 basic_machine=nse-tandem
914 ;;
805915 nsr-tandem)
806916 basic_machine=nsr-tandem
807917 ;;
884994 ;;
885995 power) basic_machine=power-ibm
886996 ;;
887 ppc) basic_machine=powerpc-unknown
888 ;;
889 ppc-*) basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'`
997 ppc | ppcbe) basic_machine=powerpc-unknown
998 ;;
999 ppc-* | ppcbe-*)
1000 basic_machine=powerpc-`echo $basic_machine | sed 's/^[^-]*-//'`
8901001 ;;
8911002 ppcle | powerpclittle | ppc-le | powerpc-little)
8921003 basic_machine=powerpcle-unknown
9111022 basic_machine=i586-unknown
9121023 os=-pw32
9131024 ;;
914 rdos)
1025 rdos | rdos64)
1026 basic_machine=x86_64-pc
1027 os=-rdos
1028 ;;
1029 rdos32)
9151030 basic_machine=i386-pc
9161031 os=-rdos
9171032 ;;
9801095 basic_machine=i860-stratus
9811096 os=-sysv4
9821097 ;;
1098 strongarm-* | thumb-*)
1099 basic_machine=arm-`echo $basic_machine | sed 's/^[^-]*-//'`
1100 ;;
9831101 sun2)
9841102 basic_machine=m68000-sun
9851103 ;;
10361154 basic_machine=t90-cray
10371155 os=-unicos
10381156 ;;
1039 tic54x | c54x*)
1040 basic_machine=tic54x-unknown
1041 os=-coff
1042 ;;
1043 tic55x | c55x*)
1044 basic_machine=tic55x-unknown
1045 os=-coff
1046 ;;
1047 tic6x | c6x*)
1048 basic_machine=tic6x-unknown
1049 os=-coff
1050 ;;
10511157 tile*)
1052 basic_machine=tile-unknown
1158 basic_machine=$basic_machine-unknown
10531159 os=-linux-gnu
10541160 ;;
10551161 tx39)
11191225 xps | xps100)
11201226 basic_machine=xps100-honeywell
11211227 ;;
1228 xscale-* | xscalee[bl]-*)
1229 basic_machine=`echo $basic_machine | sed 's/^xscale/arm/'`
1230 ;;
11221231 ymp)
11231232 basic_machine=ymp-cray
11241233 os=-unicos
11251234 ;;
11261235 z8k-*-coff)
11271236 basic_machine=z8k-unknown
1237 os=-sim
1238 ;;
1239 z80-*-coff)
1240 basic_machine=z80-unknown
11281241 os=-sim
11291242 ;;
11301243 none)
11651278 we32k)
11661279 basic_machine=we32k-att
11671280 ;;
1168 sh[1234] | sh[24]a | sh[34]eb | sh[1234]le | sh[23]ele)
1281 sh[1234] | sh[24]a | sh[24]aeb | sh[34]eb | sh[1234]le | sh[23]ele)
11691282 basic_machine=sh-unknown
11701283 ;;
11711284 sparc | sparcv8 | sparcv9 | sparcv9b | sparcv9v)
12121325 if [ x"$os" != x"" ]
12131326 then
12141327 case $os in
1215 # First match some system type aliases
1216 # that might get confused with valid system types.
1328 # First match some system type aliases
1329 # that might get confused with valid system types.
12171330 # -solaris* is a basic system type, with this one exception.
1331 -auroraux)
1332 os=-auroraux
1333 ;;
12181334 -solaris1 | -solaris1.*)
12191335 os=`echo $os | sed -e 's|solaris1|sunos4|'`
12201336 ;;
12351351 # Each alternative MUST END IN A *, to match a version number.
12361352 # -sysv* is not here because it comes later, after sysvr4.
12371353 -gnu* | -bsd* | -mach* | -minix* | -genix* | -ultrix* | -irix* \
1238 | -*vms* | -sco* | -esix* | -isc* | -aix* | -sunos | -sunos[34]*\
1239 | -hpux* | -unos* | -osf* | -luna* | -dgux* | -solaris* | -sym* \
1354 | -*vms* | -sco* | -esix* | -isc* | -aix* | -cnk* | -sunos | -sunos[34]*\
1355 | -hpux* | -unos* | -osf* | -luna* | -dgux* | -auroraux* | -solaris* \
1356 | -sym* | -kopensolaris* \
12401357 | -amigaos* | -amigados* | -msdos* | -newsos* | -unicos* | -aof* \
1241 | -aos* \
1358 | -aos* | -aros* \
12421359 | -nindy* | -vxsim* | -vxworks* | -ebmon* | -hms* | -mvs* \
12431360 | -clix* | -riscos* | -uniplus* | -iris* | -rtu* | -xenix* \
12441361 | -hiux* | -386bsd* | -knetbsd* | -mirbsd* | -netbsd* \
1245 | -openbsd* | -solidbsd* \
1362 | -bitrig* | -openbsd* | -solidbsd* \
12461363 | -ekkobsd* | -kfreebsd* | -freebsd* | -riscix* | -lynxos* \
12471364 | -bosx* | -nextstep* | -cxux* | -aout* | -elf* | -oabi* \
12481365 | -ptx* | -coff* | -ecoff* | -winnt* | -domain* | -vsta* \
12491366 | -udi* | -eabi* | -lites* | -ieee* | -go32* | -aux* \
1250 | -chorusos* | -chorusrdb* \
1251 | -cygwin* | -pe* | -psos* | -moss* | -proelf* | -rtems* \
1252 | -mingw32* | -linux-gnu* | -linux-newlib* | -linux-uclibc* \
1367 | -chorusos* | -chorusrdb* | -cegcc* \
1368 | -cygwin* | -msys* | -pe* | -psos* | -moss* | -proelf* | -rtems* \
1369 | -mingw32* | -mingw64* | -linux-gnu* | -linux-android* \
1370 | -linux-newlib* | -linux-musl* | -linux-uclibc* \
12531371 | -uxpv* | -beos* | -mpeix* | -udk* \
12541372 | -interix* | -uwin* | -mks* | -rhapsody* | -darwin* | -opened* \
12551373 | -openstep* | -oskit* | -conix* | -pw32* | -nonstopux* \
12571375 | -os2* | -vos* | -palmos* | -uclinux* | -nucleus* \
12581376 | -morphos* | -superux* | -rtmk* | -rtmk-nova* | -windiss* \
12591377 | -powermax* | -dnix* | -nx6 | -nx7 | -sei* | -dragonfly* \
1260 | -skyos* | -haiku* | -rdos* | -toppers* | -drops*)
1378 | -skyos* | -haiku* | -rdos* | -toppers* | -drops* | -es*)
12611379 # Remember, each alternative MUST END IN *, to match a version number.
12621380 ;;
12631381 -qnx*)
12961414 -opened*)
12971415 os=-openedition
12981416 ;;
1299 -os400*)
1417 -os400*)
13001418 os=-os400
13011419 ;;
13021420 -wince*)
13451463 -sinix*)
13461464 os=-sysv4
13471465 ;;
1348 -tpf*)
1466 -tpf*)
13491467 os=-tpf
13501468 ;;
13511469 -triton*)
13861504 ;;
13871505 -zvmoe)
13881506 os=-zvmoe
1507 ;;
1508 -dicos*)
1509 os=-dicos
1510 ;;
1511 -nacl*)
13891512 ;;
13901513 -none)
13911514 ;;
14091532 # system, and we'll never get to this point.
14101533
14111534 case $basic_machine in
1412 score-*)
1535 score-*)
14131536 os=-elf
14141537 ;;
1415 spu-*)
1538 spu-*)
14161539 os=-elf
14171540 ;;
14181541 *-acorn)
14241547 arm*-semi)
14251548 os=-aout
14261549 ;;
1427 c4x-* | tic4x-*)
1428 os=-coff
1550 c4x-* | tic4x-*)
1551 os=-coff
1552 ;;
1553 hexagon-*)
1554 os=-elf
1555 ;;
1556 tic54x-*)
1557 os=-coff
1558 ;;
1559 tic55x-*)
1560 os=-coff
1561 ;;
1562 tic6x-*)
1563 os=-coff
14291564 ;;
14301565 # This must come before the *-dec entry.
14311566 pdp10-*)
14451580 ;;
14461581 m68000-sun)
14471582 os=-sunos3
1448 # This also exists in the configure program, but was not the
1449 # default.
1450 # os=-sunos4
14511583 ;;
14521584 m68*-cisco)
14531585 os=-aout
14541586 ;;
1455 mep-*)
1587 mep-*)
14561588 os=-elf
14571589 ;;
14581590 mips*-cisco)
14791611 *-ibm)
14801612 os=-aix
14811613 ;;
1482 *-knuth)
1614 *-knuth)
14831615 os=-mmixware
14841616 ;;
14851617 *-wec)
15841716 -sunos*)
15851717 vendor=sun
15861718 ;;
1587 -aix*)
1719 -cnk*|-aix*)
15881720 vendor=ibm
15891721 ;;
15901722 -beos*)
+3124
-7345
configure less more
00 #! /bin/sh
11 # Guess values for system-dependent variables and create Makefiles.
2 # Generated by GNU Autoconf 2.63 for gtkwave 3.3.45.
2 # Generated by GNU Autoconf 2.69 for gtkwave 3.3.46.
33 #
44 # Report bugs to <bybell@rocketmail.com>.
55 #
6 # Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
7 # 2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
6 #
7 # Copyright (C) 1992-1996, 1998-2012 Free Software Foundation, Inc.
8 #
9 #
810 # This configure script is free software; the Free Software Foundation
911 # gives unlimited permission to copy, distribute and modify it.
10 ## --------------------- ##
11 ## M4sh Initialization. ##
12 ## --------------------- ##
12 ## -------------------- ##
13 ## M4sh Initialization. ##
14 ## -------------------- ##
1315
1416 # Be more Bourne compatible
1517 DUALCASE=1; export DUALCASE # for MKS sh
16 if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
18 if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
1719 emulate sh
1820 NULLCMD=:
1921 # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
2123 alias -g '${1+"$@"}'='"$@"'
2224 setopt NO_GLOB_SUBST
2325 else
24 case `(set -o) 2>/dev/null` in
25 *posix*) set -o posix ;;
26 case `(set -o) 2>/dev/null` in #(
27 *posix*) :
28 set -o posix ;; #(
29 *) :
30 ;;
2631 esac
27
28 fi
29
30
31
32
33 # PATH needs CR
34 # Avoid depending upon Character Ranges.
35 as_cr_letters='abcdefghijklmnopqrstuvwxyz'
36 as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
37 as_cr_Letters=$as_cr_letters$as_cr_LETTERS
38 as_cr_digits='0123456789'
39 as_cr_alnum=$as_cr_Letters$as_cr_digits
32 fi
33
4034
4135 as_nl='
4236 '
4539 as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
4640 as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
4741 as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
48 if (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
42 # Prefer a ksh shell builtin over an external printf program on Solaris,
43 # but without wasting forks for bash or zsh.
44 if test -z "$BASH_VERSION$ZSH_VERSION" \
45 && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
46 as_echo='print -r --'
47 as_echo_n='print -rn --'
48 elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
4949 as_echo='printf %s\n'
5050 as_echo_n='printf %s'
5151 else
5656 as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
5757 as_echo_n_body='eval
5858 arg=$1;
59 case $arg in
59 case $arg in #(
6060 *"$as_nl"*)
6161 expr "X$arg" : "X\\(.*\\)$as_nl";
6262 arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
7979 }
8080 fi
8181
82 # Support unset when possible.
83 if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
84 as_unset=unset
85 else
86 as_unset=false
87 fi
88
8982
9083 # IFS
9184 # We need space, tab and new line, in precisely that order. Quoting is
9588 IFS=" "" $as_nl"
9689
9790 # Find who we are. Look in the path if we contain no directory separator.
98 case $0 in
91 as_myself=
92 case $0 in #((
9993 *[\\/]* ) as_myself=$0 ;;
10094 *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
10195 for as_dir in $PATH
10296 do
10397 IFS=$as_save_IFS
10498 test -z "$as_dir" && as_dir=.
105 test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
106 done
99 test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
100 done
107101 IFS=$as_save_IFS
108102
109103 ;;
115109 fi
116110 if test ! -f "$as_myself"; then
117111 $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
118 { (exit 1); exit 1; }
119 fi
120
121 # Work around bugs in pre-3.0 UWIN ksh.
122 for as_var in ENV MAIL MAILPATH
123 do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
112 exit 1
113 fi
114
115 # Unset variables that we do not need and which cause bugs (e.g. in
116 # pre-3.0 UWIN ksh). But do not cause bugs in bash 2.01; the "|| exit 1"
117 # suppresses any "Segmentation fault" message there. '((' could
118 # trigger a bug in pdksh 5.2.14.
119 for as_var in BASH_ENV ENV MAIL MAILPATH
120 do eval test x\${$as_var+set} = xset \
121 && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
124122 done
125123 PS1='$ '
126124 PS2='> '
132130 LANGUAGE=C
133131 export LANGUAGE
134132
135 # Required to use basename.
133 # CDPATH.
134 (unset CDPATH) >/dev/null 2>&1 && unset CDPATH
135
136 # Use a proper internal environment variable to ensure we don't fall
137 # into an infinite loop, continuously re-executing ourselves.
138 if test x"${_as_can_reexec}" != xno && test "x$CONFIG_SHELL" != x; then
139 _as_can_reexec=no; export _as_can_reexec;
140 # We cannot yet assume a decent shell, so we have to provide a
141 # neutralization value for shells without unset; and this also
142 # works around shells that cannot unset nonexistent variables.
143 # Preserve -v and -x to the replacement shell.
144 BASH_ENV=/dev/null
145 ENV=/dev/null
146 (unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
147 case $- in # ((((
148 *v*x* | *x*v* ) as_opts=-vx ;;
149 *v* ) as_opts=-v ;;
150 *x* ) as_opts=-x ;;
151 * ) as_opts= ;;
152 esac
153 exec $CONFIG_SHELL $as_opts "$as_myself" ${1+"$@"}
154 # Admittedly, this is quite paranoid, since all the known shells bail
155 # out after a failed `exec'.
156 $as_echo "$0: could not re-execute with $CONFIG_SHELL" >&2
157 as_fn_exit 255
158 fi
159 # We don't want this to propagate to other subprocesses.
160 { _as_can_reexec=; unset _as_can_reexec;}
161 if test "x$CONFIG_SHELL" = x; then
162 as_bourne_compatible="if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then :
163 emulate sh
164 NULLCMD=:
165 # Pre-4.2 versions of Zsh do word splitting on \${1+\"\$@\"}, which
166 # is contrary to our usage. Disable this feature.
167 alias -g '\${1+\"\$@\"}'='\"\$@\"'
168 setopt NO_GLOB_SUBST
169 else
170 case \`(set -o) 2>/dev/null\` in #(
171 *posix*) :
172 set -o posix ;; #(
173 *) :
174 ;;
175 esac
176 fi
177 "
178 as_required="as_fn_return () { (exit \$1); }
179 as_fn_success () { as_fn_return 0; }
180 as_fn_failure () { as_fn_return 1; }
181 as_fn_ret_success () { return 0; }
182 as_fn_ret_failure () { return 1; }
183
184 exitcode=0
185 as_fn_success || { exitcode=1; echo as_fn_success failed.; }
186 as_fn_failure && { exitcode=1; echo as_fn_failure succeeded.; }
187 as_fn_ret_success || { exitcode=1; echo as_fn_ret_success failed.; }
188 as_fn_ret_failure && { exitcode=1; echo as_fn_ret_failure succeeded.; }
189 if ( set x; as_fn_ret_success y && test x = \"\$1\" ); then :
190
191 else
192 exitcode=1; echo positional parameters were not saved.
193 fi
194 test x\$exitcode = x0 || exit 1
195 test -x / || exit 1"
196 as_suggested=" as_lineno_1=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_1a=\$LINENO
197 as_lineno_2=";as_suggested=$as_suggested$LINENO;as_suggested=$as_suggested" as_lineno_2a=\$LINENO
198 eval 'test \"x\$as_lineno_1'\$as_run'\" != \"x\$as_lineno_2'\$as_run'\" &&
199 test \"x\`expr \$as_lineno_1'\$as_run' + 1\`\" = \"x\$as_lineno_2'\$as_run'\"' || exit 1
200 test \$(( 1 + 1 )) = 2 || exit 1"
201 if (eval "$as_required") 2>/dev/null; then :
202 as_have_required=yes
203 else
204 as_have_required=no
205 fi
206 if test x$as_have_required = xyes && (eval "$as_suggested") 2>/dev/null; then :
207
208 else
209 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
210 as_found=false
211 for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
212 do
213 IFS=$as_save_IFS
214 test -z "$as_dir" && as_dir=.
215 as_found=:
216 case $as_dir in #(
217 /*)
218 for as_base in sh bash ksh sh5; do
219 # Try only shells that exist, to save several forks.
220 as_shell=$as_dir/$as_base
221 if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
222 { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$as_shell"; } 2>/dev/null; then :
223 CONFIG_SHELL=$as_shell as_have_required=yes
224 if { $as_echo "$as_bourne_compatible""$as_suggested" | as_run=a "$as_shell"; } 2>/dev/null; then :
225 break 2
226 fi
227 fi
228 done;;
229 esac
230 as_found=false
231 done
232 $as_found || { if { test -f "$SHELL" || test -f "$SHELL.exe"; } &&
233 { $as_echo "$as_bourne_compatible""$as_required" | as_run=a "$SHELL"; } 2>/dev/null; then :
234 CONFIG_SHELL=$SHELL as_have_required=yes
235 fi; }
236 IFS=$as_save_IFS
237
238
239 if test "x$CONFIG_SHELL" != x; then :
240 export CONFIG_SHELL
241 # We cannot yet assume a decent shell, so we have to provide a
242 # neutralization value for shells without unset; and this also
243 # works around shells that cannot unset nonexistent variables.
244 # Preserve -v and -x to the replacement shell.
245 BASH_ENV=/dev/null
246 ENV=/dev/null
247 (unset BASH_ENV) >/dev/null 2>&1 && unset BASH_ENV ENV
248 case $- in # ((((
249 *v*x* | *x*v* ) as_opts=-vx ;;
250 *v* ) as_opts=-v ;;
251 *x* ) as_opts=-x ;;
252 * ) as_opts= ;;
253 esac
254 exec $CONFIG_SHELL $as_opts "$as_myself" ${1+"$@"}
255 # Admittedly, this is quite paranoid, since all the known shells bail
256 # out after a failed `exec'.
257 $as_echo "$0: could not re-execute with $CONFIG_SHELL" >&2
258 exit 255
259 fi
260
261 if test x$as_have_required = xno; then :
262 $as_echo "$0: This script requires a shell more modern than all"
263 $as_echo "$0: the shells that I found on your system."
264 if test x${ZSH_VERSION+set} = xset ; then
265 $as_echo "$0: In particular, zsh $ZSH_VERSION has bugs and should"
266 $as_echo "$0: be upgraded to zsh 4.3.4 or later."
267 else
268 $as_echo "$0: Please tell bug-autoconf@gnu.org and
269 $0: bybell@rocketmail.com about your system, including any
270 $0: error possibly output before this message. Then install
271 $0: a modern shell, or manually run the script under such a
272 $0: shell if you do have one."
273 fi
274 exit 1
275 fi
276 fi
277 fi
278 SHELL=${CONFIG_SHELL-/bin/sh}
279 export SHELL
280 # Unset more variables known to interfere with behavior of common tools.
281 CLICOLOR_FORCE= GREP_OPTIONS=
282 unset CLICOLOR_FORCE GREP_OPTIONS
283
284 ## --------------------- ##
285 ## M4sh Shell Functions. ##
286 ## --------------------- ##
287 # as_fn_unset VAR
288 # ---------------
289 # Portably unset VAR.
290 as_fn_unset ()
291 {
292 { eval $1=; unset $1;}
293 }
294 as_unset=as_fn_unset
295
296 # as_fn_set_status STATUS
297 # -----------------------
298 # Set $? to STATUS, without forking.
299 as_fn_set_status ()
300 {
301 return $1
302 } # as_fn_set_status
303
304 # as_fn_exit STATUS
305 # -----------------
306 # Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
307 as_fn_exit ()
308 {
309 set +e
310 as_fn_set_status $1
311 exit $1
312 } # as_fn_exit
313
314 # as_fn_mkdir_p
315 # -------------
316 # Create "$as_dir" as a directory, including parents if necessary.
317 as_fn_mkdir_p ()
318 {
319
320 case $as_dir in #(
321 -*) as_dir=./$as_dir;;
322 esac
323 test -d "$as_dir" || eval $as_mkdir_p || {
324 as_dirs=
325 while :; do
326 case $as_dir in #(
327 *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
328 *) as_qdir=$as_dir;;
329 esac
330 as_dirs="'$as_qdir' $as_dirs"
331 as_dir=`$as_dirname -- "$as_dir" ||
332 $as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
333 X"$as_dir" : 'X\(//\)[^/]' \| \
334 X"$as_dir" : 'X\(//\)$' \| \
335 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
336 $as_echo X"$as_dir" |
337 sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
338 s//\1/
339 q
340 }
341 /^X\(\/\/\)[^/].*/{
342 s//\1/
343 q
344 }
345 /^X\(\/\/\)$/{
346 s//\1/
347 q
348 }
349 /^X\(\/\).*/{
350 s//\1/
351 q
352 }
353 s/.*/./; q'`
354 test -d "$as_dir" && break
355 done
356 test -z "$as_dirs" || eval "mkdir $as_dirs"
357 } || test -d "$as_dir" || as_fn_error $? "cannot create directory $as_dir"
358
359
360 } # as_fn_mkdir_p
361
362 # as_fn_executable_p FILE
363 # -----------------------
364 # Test if FILE is an executable regular file.
365 as_fn_executable_p ()
366 {
367 test -f "$1" && test -x "$1"
368 } # as_fn_executable_p
369 # as_fn_append VAR VALUE
370 # ----------------------
371 # Append the text in VALUE to the end of the definition contained in VAR. Take
372 # advantage of any shell optimizations that allow amortized linear growth over
373 # repeated appends, instead of the typical quadratic growth present in naive
374 # implementations.
375 if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
376 eval 'as_fn_append ()
377 {
378 eval $1+=\$2
379 }'
380 else
381 as_fn_append ()
382 {
383 eval $1=\$$1\$2
384 }
385 fi # as_fn_append
386
387 # as_fn_arith ARG...
388 # ------------------
389 # Perform arithmetic evaluation on the ARGs, and store the result in the
390 # global $as_val. Take advantage of shells that can avoid forks. The arguments
391 # must be portable across $(()) and expr.
392 if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
393 eval 'as_fn_arith ()
394 {
395 as_val=$(( $* ))
396 }'
397 else
398 as_fn_arith ()
399 {
400 as_val=`expr "$@" || test $? -eq 1`
401 }
402 fi # as_fn_arith
403
404
405 # as_fn_error STATUS ERROR [LINENO LOG_FD]
406 # ----------------------------------------
407 # Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
408 # provided, also output the error to LOG_FD, referencing LINENO. Then exit the
409 # script with STATUS, using 1 if that was 0.
410 as_fn_error ()
411 {
412 as_status=$1; test $as_status -eq 0 && as_status=1
413 if test "$4"; then
414 as_lineno=${as_lineno-"$3"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
415 $as_echo "$as_me:${as_lineno-$LINENO}: error: $2" >&$4
416 fi
417 $as_echo "$as_me: error: $2" >&2
418 as_fn_exit $as_status
419 } # as_fn_error
420
136421 if expr a : '\(a\)' >/dev/null 2>&1 &&
137422 test "X`expr 00001 : '.*\(...\)'`" = X001; then
138423 as_expr=expr
146431 as_basename=false
147432 fi
148433
149
150 # Name of the executable.
434 if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
435 as_dirname=dirname
436 else
437 as_dirname=false
438 fi
439
151440 as_me=`$as_basename -- "$0" ||
152441 $as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
153442 X"$0" : 'X\(//\)$' \| \
167456 }
168457 s/.*/./; q'`
169458
170 # CDPATH.
171 $as_unset CDPATH
172
173
174 if test "x$CONFIG_SHELL" = x; then
175 if (eval ":") 2>/dev/null; then
176 as_have_required=yes
177 else
178 as_have_required=no
179 fi
180
181 if test $as_have_required = yes && (eval ":
182 (as_func_return () {
183 (exit \$1)
184 }
185 as_func_success () {
186 as_func_return 0
187 }
188 as_func_failure () {
189 as_func_return 1
190 }
191 as_func_ret_success () {
192 return 0
193 }
194 as_func_ret_failure () {
195 return 1
196 }
197
198 exitcode=0
199 if as_func_success; then
200 :
201 else
202 exitcode=1
203 echo as_func_success failed.
204 fi
205
206 if as_func_failure; then
207 exitcode=1
208 echo as_func_failure succeeded.
209 fi
210
211 if as_func_ret_success; then
212 :
213 else
214 exitcode=1
215 echo as_func_ret_success failed.
216 fi
217
218 if as_func_ret_failure; then
219 exitcode=1
220 echo as_func_ret_failure succeeded.
221 fi
222
223 if ( set x; as_func_ret_success y && test x = \"\$1\" ); then
224 :
225 else
226 exitcode=1
227 echo positional parameters were not saved.
228 fi
229
230 test \$exitcode = 0) || { (exit 1); exit 1; }
231
232 (
233 as_lineno_1=\$LINENO
234 as_lineno_2=\$LINENO
235 test \"x\$as_lineno_1\" != \"x\$as_lineno_2\" &&
236 test \"x\`expr \$as_lineno_1 + 1\`\" = \"x\$as_lineno_2\") || { (exit 1); exit 1; }
237 ") 2> /dev/null; then
238 :
239 else
240 as_candidate_shells=
241 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
242 for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
243 do
244 IFS=$as_save_IFS
245 test -z "$as_dir" && as_dir=.
246 case $as_dir in
247 /*)
248 for as_base in sh bash ksh sh5; do
249 as_candidate_shells="$as_candidate_shells $as_dir/$as_base"
250 done;;
251 esac
252 done
253 IFS=$as_save_IFS
254
255
256 for as_shell in $as_candidate_shells $SHELL; do
257 # Try only shells that exist, to save several forks.
258 if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
259 { ("$as_shell") 2> /dev/null <<\_ASEOF
260 if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
261 emulate sh
262 NULLCMD=:
263 # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
264 # is contrary to our usage. Disable this feature.
265 alias -g '${1+"$@"}'='"$@"'
266 setopt NO_GLOB_SUBST
267 else
268 case `(set -o) 2>/dev/null` in
269 *posix*) set -o posix ;;
270 esac
271
272 fi
273
274
275 :
276 _ASEOF
277 }; then
278 CONFIG_SHELL=$as_shell
279 as_have_required=yes
280 if { "$as_shell" 2> /dev/null <<\_ASEOF
281 if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
282 emulate sh
283 NULLCMD=:
284 # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
285 # is contrary to our usage. Disable this feature.
286 alias -g '${1+"$@"}'='"$@"'
287 setopt NO_GLOB_SUBST
288 else
289 case `(set -o) 2>/dev/null` in
290 *posix*) set -o posix ;;
291 esac
292
293 fi
294
295
296 :
297 (as_func_return () {
298 (exit $1)
299 }
300 as_func_success () {
301 as_func_return 0
302 }
303 as_func_failure () {
304 as_func_return 1
305 }
306 as_func_ret_success () {
307 return 0
308 }
309 as_func_ret_failure () {
310 return 1
311 }
312
313 exitcode=0
314 if as_func_success; then
315 :
316 else
317 exitcode=1
318 echo as_func_success failed.
319 fi
320
321 if as_func_failure; then
322 exitcode=1
323 echo as_func_failure succeeded.
324 fi
325
326 if as_func_ret_success; then
327 :
328 else
329 exitcode=1
330 echo as_func_ret_success failed.
331 fi
332
333 if as_func_ret_failure; then
334 exitcode=1
335 echo as_func_ret_failure succeeded.
336 fi
337
338 if ( set x; as_func_ret_success y && test x = "$1" ); then
339 :
340 else
341 exitcode=1
342 echo positional parameters were not saved.
343 fi
344
345 test $exitcode = 0) || { (exit 1); exit 1; }
346
347 (
348 as_lineno_1=$LINENO
349 as_lineno_2=$LINENO
350 test "x$as_lineno_1" != "x$as_lineno_2" &&
351 test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2") || { (exit 1); exit 1; }
352
353 _ASEOF
354 }; then
355 break
356 fi
357
358 fi
359
360 done
361
362 if test "x$CONFIG_SHELL" != x; then
363 for as_var in BASH_ENV ENV
364 do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
365 done
366 export CONFIG_SHELL
367 exec "$CONFIG_SHELL" "$as_myself" ${1+"$@"}
368 fi
369
370
371 if test $as_have_required = no; then
372 echo This script requires a shell more modern than all the
373 echo shells that I found on your system. Please install a
374 echo modern shell, or manually run the script under such a
375 echo shell if you do have one.
376 { (exit 1); exit 1; }
377 fi
378
379
380 fi
381
382 fi
383
384
385
386 (eval "as_func_return () {
387 (exit \$1)
388 }
389 as_func_success () {
390 as_func_return 0
391 }
392 as_func_failure () {
393 as_func_return 1
394 }
395 as_func_ret_success () {
396 return 0
397 }
398 as_func_ret_failure () {
399 return 1
400 }
401
402 exitcode=0
403 if as_func_success; then
404 :
405 else
406 exitcode=1
407 echo as_func_success failed.
408 fi
409
410 if as_func_failure; then
411 exitcode=1
412 echo as_func_failure succeeded.
413 fi
414
415 if as_func_ret_success; then
416 :
417 else
418 exitcode=1
419 echo as_func_ret_success failed.
420 fi
421
422 if as_func_ret_failure; then
423 exitcode=1
424 echo as_func_ret_failure succeeded.
425 fi
426
427 if ( set x; as_func_ret_success y && test x = \"\$1\" ); then
428 :
429 else
430 exitcode=1
431 echo positional parameters were not saved.
432 fi
433
434 test \$exitcode = 0") || {
435 echo No shell found that supports shell functions.
436 echo Please tell bug-autoconf@gnu.org about your system,
437 echo including any error possibly output before this message.
438 echo This can help us improve future autoconf versions.
439 echo Configuration will now proceed without shell functions.
440 }
441
442
443
444 as_lineno_1=$LINENO
445 as_lineno_2=$LINENO
446 test "x$as_lineno_1" != "x$as_lineno_2" &&
447 test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2" || {
448
449 # Create $as_me.lineno as a copy of $as_myself, but with $LINENO
450 # uniformly replaced by the line number. The first 'sed' inserts a
451 # line-number line after each line using $LINENO; the second 'sed'
452 # does the real work. The second script uses 'N' to pair each
453 # line-number line with the line containing $LINENO, and appends
454 # trailing '-' during substitution so that $LINENO is not a special
455 # case at line end.
456 # (Raja R Harinath suggested sed '=', and Paul Eggert wrote the
457 # scripts with optimization help from Paolo Bonzini. Blame Lee
458 # E. McMahon (1931-1989) for sed's syntax. :-)
459 # Avoid depending upon Character Ranges.
460 as_cr_letters='abcdefghijklmnopqrstuvwxyz'
461 as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
462 as_cr_Letters=$as_cr_letters$as_cr_LETTERS
463 as_cr_digits='0123456789'
464 as_cr_alnum=$as_cr_Letters$as_cr_digits
465
466
467 as_lineno_1=$LINENO as_lineno_1a=$LINENO
468 as_lineno_2=$LINENO as_lineno_2a=$LINENO
469 eval 'test "x$as_lineno_1'$as_run'" != "x$as_lineno_2'$as_run'" &&
470 test "x`expr $as_lineno_1'$as_run' + 1`" = "x$as_lineno_2'$as_run'"' || {
471 # Blame Lee E. McMahon (1931-1989) for sed's syntax. :-)
459472 sed -n '
460473 p
461474 /[$]LINENO/=
472485 s/-\n.*//
473486 ' >$as_me.lineno &&
474487 chmod +x "$as_me.lineno" ||
475 { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2
476 { (exit 1); exit 1; }; }
477
488 { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2; as_fn_exit 1; }
489
490 # If we had to re-execute with $CONFIG_SHELL, we're ensured to have
491 # already done that, so ensure we don't try to do so again and fall
492 # in an infinite loop. This has already happened in practice.
493 _as_can_reexec=no; export _as_can_reexec
478494 # Don't try to exec as it changes $[0], causing all sort of problems
479495 # (the dirname of $[0] is not the place where we might find the
480496 # original and so on. Autoconf is especially sensitive to this).
483499 exit
484500 }
485501
486
487 if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
488 as_dirname=dirname
489 else
490 as_dirname=false
491 fi
492
493502 ECHO_C= ECHO_N= ECHO_T=
494 case `echo -n x` in
503 case `echo -n x` in #(((((
495504 -n*)
496 case `echo 'x\c'` in
505 case `echo 'xy\c'` in
497506 *c*) ECHO_T=' ';; # ECHO_T is single tab character.
498 *) ECHO_C='\c';;
507 xy) ECHO_C='\c';;
508 *) echo `echo ksh88 bug on AIX 6.1` > /dev/null
509 ECHO_T=' ';;
499510 esac;;
500511 *)
501512 ECHO_N='-n';;
502513 esac
503 if expr a : '\(a\)' >/dev/null 2>&1 &&
504 test "X`expr 00001 : '.*\(...\)'`" = X001; then
505 as_expr=expr
506 else
507 as_expr=false
508 fi
509514
510515 rm -f conf$$ conf$$.exe conf$$.file
511516 if test -d conf$$.dir; then
520525 # ... but there are two gotchas:
521526 # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
522527 # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
523 # In both cases, we have to default to `cp -p'.
528 # In both cases, we have to default to `cp -pR'.
524529 ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
525 as_ln_s='cp -p'
530 as_ln_s='cp -pR'
526531 elif ln conf$$.file conf$$ 2>/dev/null; then
527532 as_ln_s=ln
528533 else
529 as_ln_s='cp -p'
534 as_ln_s='cp -pR'
530535 fi
531536 else
532 as_ln_s='cp -p'
537 as_ln_s='cp -pR'
533538 fi
534539 rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
535540 rmdir conf$$.dir 2>/dev/null
536541
537542 if mkdir -p . 2>/dev/null; then
538 as_mkdir_p=:
543 as_mkdir_p='mkdir -p "$as_dir"'
539544 else
540545 test -d ./-p && rmdir ./-p
541546 as_mkdir_p=false
542547 fi
543548
544 if test -x / >/dev/null 2>&1; then
545 as_test_x='test -x'
546 else
547 if ls -dL / >/dev/null 2>&1; then
548 as_ls_L_option=L
549 else
550 as_ls_L_option=
551 fi
552 as_test_x='
553 eval sh -c '\''
554 if test -d "$1"; then
555 test -d "$1/.";
556 else
557 case $1 in
558 -*)set "./$1";;
559 esac;
560 case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in
561 ???[sx]*):;;*)false;;esac;fi
562 '\'' sh
563 '
564 fi
565 as_executable_p=$as_test_x
549 as_test_x='test -x'
550 as_executable_p=as_fn_executable_p
566551
567552 # Sed expression to map a string onto a valid CPP name.
568553 as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
571556 as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
572557
573558
574
575 exec 7<&0 </dev/null 6>&1
559 test -n "$DJDIR" || exec 7<&0 </dev/null
560 exec 6>&1
576561
577562 # Name of the host.
578 # hostname on some systems (SVR3.2, Linux) returns a bogus exit status,
563 # hostname on some systems (SVR3.2, old GNU/Linux) returns a bogus exit status,
579564 # so uname gets run too.
580565 ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
581566
590575 subdirs=
591576 MFLAGS=
592577 MAKEFLAGS=
593 SHELL=${CONFIG_SHELL-/bin/sh}
594578
595579 # Identity of this package.
596580 PACKAGE_NAME='gtkwave'
597581 PACKAGE_TARNAME='gtkwave'
598 PACKAGE_VERSION='3.3.45'
599 PACKAGE_STRING='gtkwave 3.3.45'
582 PACKAGE_VERSION='3.3.46'
583 PACKAGE_STRING='gtkwave 3.3.46'
600584 PACKAGE_BUGREPORT='bybell@rocketmail.com'
585 PACKAGE_URL=''
601586
602587 ac_unique_file="src/vcd.c"
603588 # Factoring default headers for most tests.
636621 # include <unistd.h>
637622 #endif"
638623
624 ac_header_list=
639625 ac_subst_vars='am__EXEEXT_FALSE
640626 am__EXEEXT_TRUE
641627 LTLIBOBJS
644630 TCL_LDADD
645631 POW_LIB
646632 LIBOBJS
633 ALLOCA
647634 EGREP
648635 GREP
649636 CPP
650 ALLOCA
651637 GTK_UNIX_PRINT_LIBS
652638 GTK_UNIX_PRINT_CFLAGS
653639 GCONF_LIBS
684670 am__fastdepCC_FALSE
685671 am__fastdepCC_TRUE
686672 CCDEPMODE
673 am__nodep
687674 AMDEPBACKSLASH
688675 AMDEP_FALSE
689676 AMDEP_TRUE
732719 MAINT
733720 MAINTAINER_MODE_FALSE
734721 MAINTAINER_MODE_TRUE
722 AM_BACKSLASH
723 AM_DEFAULT_VERBOSITY
724 AM_DEFAULT_V
725 AM_V
735726 am__untar
736727 am__tar
737728 AMTAR
785776 program_transform_name
786777 prefix
787778 exec_prefix
779 PACKAGE_URL
788780 PACKAGE_BUGREPORT
789781 PACKAGE_STRING
790782 PACKAGE_VERSION
795787 ac_subst_files=''
796788 ac_user_opts='
797789 enable_option_checking
790 enable_silent_rules
798791 enable_maintainer_mode
799792 with_gconf
800793 with_xdgdatadir
896889 fi
897890
898891 case $ac_option in
899 *=*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
900 *) ac_optarg=yes ;;
892 *=?*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
893 *=) ac_optarg= ;;
894 *) ac_optarg=yes ;;
901895 esac
902896
903897 # Accept the important Cygnus configure options, so we can diagnose typos.
942936 ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
943937 # Reject names that are not valid shell variable names.
944938 expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
945 { $as_echo "$as_me: error: invalid feature name: $ac_useropt" >&2
946 { (exit 1); exit 1; }; }
939 as_fn_error $? "invalid feature name: $ac_useropt"
947940 ac_useropt_orig=$ac_useropt
948941 ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
949942 case $ac_user_opts in
969962 ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
970963 # Reject names that are not valid shell variable names.
971964 expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
972 { $as_echo "$as_me: error: invalid feature name: $ac_useropt" >&2
973 { (exit 1); exit 1; }; }
965 as_fn_error $? "invalid feature name: $ac_useropt"
974966 ac_useropt_orig=$ac_useropt
975967 ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
976968 case $ac_user_opts in
11741166 ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
11751167 # Reject names that are not valid shell variable names.
11761168 expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
1177 { $as_echo "$as_me: error: invalid package name: $ac_useropt" >&2
1178 { (exit 1); exit 1; }; }
1169 as_fn_error $? "invalid package name: $ac_useropt"
11791170 ac_useropt_orig=$ac_useropt
11801171 ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
11811172 case $ac_user_opts in
11911182 ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
11921183 # Reject names that are not valid shell variable names.
11931184 expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
1194 { $as_echo "$as_me: error: invalid package name: $ac_useropt" >&2
1195 { (exit 1); exit 1; }; }
1185 as_fn_error $? "invalid package name: $ac_useropt"
11961186 ac_useropt_orig=$ac_useropt
11971187 ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
11981188 case $ac_user_opts in
12221212 | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
12231213 x_libraries=$ac_optarg ;;
12241214
1225 -*) { $as_echo "$as_me: error: unrecognized option: $ac_option
1226 Try \`$0 --help' for more information." >&2
1227 { (exit 1); exit 1; }; }
1215 -*) as_fn_error $? "unrecognized option: \`$ac_option'
1216 Try \`$0 --help' for more information"
12281217 ;;
12291218
12301219 *=*)
12311220 ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
12321221 # Reject names that are not valid shell variable names.
1233 expr "x$ac_envvar" : ".*[^_$as_cr_alnum]" >/dev/null &&
1234 { $as_echo "$as_me: error: invalid variable name: $ac_envvar" >&2
1235 { (exit 1); exit 1; }; }
1222 case $ac_envvar in #(
1223 '' | [0-9]* | *[!_$as_cr_alnum]* )
1224 as_fn_error $? "invalid variable name: \`$ac_envvar'" ;;
1225 esac
12361226 eval $ac_envvar=\$ac_optarg
12371227 export $ac_envvar ;;
12381228
12411231 $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
12421232 expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
12431233 $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
1244 : ${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}
1234 : "${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}"
12451235 ;;
12461236
12471237 esac
12491239
12501240 if test -n "$ac_prev"; then
12511241 ac_option=--`echo $ac_prev | sed 's/_/-/g'`
1252 { $as_echo "$as_me: error: missing argument to $ac_option" >&2
1253 { (exit 1); exit 1; }; }
1242 as_fn_error $? "missing argument to $ac_option"
12541243 fi
12551244
12561245 if test -n "$ac_unrecognized_opts"; then
12571246 case $enable_option_checking in
12581247 no) ;;
1259 fatal) { $as_echo "$as_me: error: unrecognized options: $ac_unrecognized_opts" >&2
1260 { (exit 1); exit 1; }; } ;;
1248 fatal) as_fn_error $? "unrecognized options: $ac_unrecognized_opts" ;;
12611249 *) $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
12621250 esac
12631251 fi
12801268 [\\/$]* | ?:[\\/]* ) continue;;
12811269 NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
12821270 esac
1283 { $as_echo "$as_me: error: expected an absolute directory name for --$ac_var: $ac_val" >&2
1284 { (exit 1); exit 1; }; }
1271 as_fn_error $? "expected an absolute directory name for --$ac_var: $ac_val"
12851272 done
12861273
12871274 # There might be people who depend on the old broken behavior: `$host'
12951282 if test "x$host_alias" != x; then
12961283 if test "x$build_alias" = x; then
12971284 cross_compiling=maybe
1298 $as_echo "$as_me: WARNING: If you wanted to set the --build type, don't use --host.
1299 If a cross compiler is detected then cross compile mode will be used." >&2
13001285 elif test "x$build_alias" != "x$host_alias"; then
13011286 cross_compiling=yes
13021287 fi
13111296 ac_pwd=`pwd` && test -n "$ac_pwd" &&
13121297 ac_ls_di=`ls -di .` &&
13131298 ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
1314 { $as_echo "$as_me: error: working directory cannot be determined" >&2
1315 { (exit 1); exit 1; }; }
1299 as_fn_error $? "working directory cannot be determined"
13161300 test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
1317 { $as_echo "$as_me: error: pwd does not report name of working directory" >&2
1318 { (exit 1); exit 1; }; }
1301 as_fn_error $? "pwd does not report name of working directory"
13191302
13201303
13211304 # Find the source files, if location was not specified.
13541337 fi
13551338 if test ! -r "$srcdir/$ac_unique_file"; then
13561339 test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
1357 { $as_echo "$as_me: error: cannot find sources ($ac_unique_file) in $srcdir" >&2
1358 { (exit 1); exit 1; }; }
1340 as_fn_error $? "cannot find sources ($ac_unique_file) in $srcdir"
13591341 fi
13601342 ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
13611343 ac_abs_confdir=`(
1362 cd "$srcdir" && test -r "./$ac_unique_file" || { $as_echo "$as_me: error: $ac_msg" >&2
1363 { (exit 1); exit 1; }; }
1344 cd "$srcdir" && test -r "./$ac_unique_file" || as_fn_error $? "$ac_msg"
13641345 pwd)`
13651346 # When building in place, set srcdir=.
13661347 if test "$ac_abs_confdir" = "$ac_pwd"; then
13861367 # Omit some internal or obsolete options to make the list less imposing.
13871368 # This message is too long to be a string in the A/UX 3.1 sh.
13881369 cat <<_ACEOF
1389 \`configure' configures gtkwave 3.3.45 to adapt to many kinds of systems.
1370 \`configure' configures gtkwave 3.3.46 to adapt to many kinds of systems.
13901371
13911372 Usage: $0 [OPTION]... [VAR=VALUE]...
13921373
14001381 --help=short display options specific to this package
14011382 --help=recursive display the short help of all the included packages
14021383 -V, --version display version information and exit
1403 -q, --quiet, --silent do not print \`checking...' messages
1384 -q, --quiet, --silent do not print \`checking ...' messages
14041385 --cache-file=FILE cache test results in FILE [disabled]
14051386 -C, --config-cache alias for \`--cache-file=config.cache'
14061387 -n, --no-create do not create output files
14521433
14531434 if test -n "$ac_init_help"; then
14541435 case $ac_init_help in
1455 short | recursive ) echo "Configuration of gtkwave 3.3.45:";;
1436 short | recursive ) echo "Configuration of gtkwave 3.3.46:";;
14561437 esac
14571438 cat <<\_ACEOF
14581439
14601441 --disable-option-checking ignore unrecognized --enable/--with options
14611442 --disable-FEATURE do not include FEATURE (same as --enable-FEATURE=no)
14621443 --enable-FEATURE[=ARG] include FEATURE [ARG=yes]
1463 --enable-maintainer-mode enable make rules and dependencies not useful
1464 (and sometimes confusing) to the casual installer
1444 --enable-silent-rules less verbose build output (undo: "make V=1")
1445 --disable-silent-rules verbose build output (undo: "make V=0")
1446 --enable-maintainer-mode
1447 enable make rules and dependencies not useful (and
1448 sometimes confusing) to the casual installer
14651449 --disable-mime-update Disables MIME type and desktop/icon updating
14661450 --disable-inline-asm Disables usage of inline x86 assembly
14671451 --enable-struct-pack Enables C language structure packing pragmas
14751459 instead of gtk2.
14761460 --enable-fatlines Renders lines as double width in gtkwave.
14771461 --enable-ae2 Compiles in AE2 from env var SIMARAMA_BASE location.
1478 --disable-dependency-tracking speeds up one-time build
1479 --enable-dependency-tracking do not reject slow dependency extractors
1462 --enable-dependency-tracking
1463 do not reject slow dependency extractors
1464 --disable-dependency-tracking
1465 speeds up one-time build
14801466 --enable-local-libz Use the bundled libz instead of any libz which may
14811467 already be installed on your system. Default is to
14821468 first look for an installed libz and fall back to
15051491 LDFLAGS linker flags, e.g. -L<lib dir> if you have libraries in a
15061492 nonstandard directory <lib dir>
15071493 LIBS libraries to pass to the linker, e.g. -l<library>
1508 CPPFLAGS C/C++/Objective C preprocessor flags, e.g. -I<include dir> if
1494 CPPFLAGS (Objective) C/C++ preprocessor flags, e.g. -I<include dir> if
15091495 you have headers in a nonstandard directory <include dir>
15101496 PKG_CONFIG path to pkg-config utility
15111497 GTK_CFLAGS C compiler flags for GTK, overriding pkg-config
15891575 test -n "$ac_init_help" && exit $ac_status
15901576 if $ac_init_version; then
15911577 cat <<\_ACEOF
1592 gtkwave configure 3.3.45
1593 generated by GNU Autoconf 2.63
1594
1595 Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
1596 2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
1578 gtkwave configure 3.3.46
1579 generated by GNU Autoconf 2.69
1580
1581 Copyright (C) 2012 Free Software Foundation, Inc.
15971582 This configure script is free software; the Free Software Foundation
15981583 gives unlimited permission to copy, distribute and modify it.
15991584 _ACEOF
16001585 exit
16011586 fi
1587
1588 ## ------------------------ ##
1589 ## Autoconf initialization. ##
1590 ## ------------------------ ##
1591
1592 # ac_fn_c_try_compile LINENO
1593 # --------------------------
1594 # Try to compile conftest.$ac_ext, and return whether this succeeded.
1595 ac_fn_c_try_compile ()
1596 {
1597 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1598 rm -f conftest.$ac_objext
1599 if { { ac_try="$ac_compile"
1600 case "(($ac_try" in
1601 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
1602 *) ac_try_echo=$ac_try;;
1603 esac
1604 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
1605 $as_echo "$ac_try_echo"; } >&5
1606 (eval "$ac_compile") 2>conftest.err
1607 ac_status=$?
1608 if test -s conftest.err; then
1609 grep -v '^ *+' conftest.err >conftest.er1
1610 cat conftest.er1 >&5
1611 mv -f conftest.er1 conftest.err
1612 fi
1613 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
1614 test $ac_status = 0; } && {
1615 test -z "$ac_c_werror_flag" ||
1616 test ! -s conftest.err
1617 } && test -s conftest.$ac_objext; then :
1618 ac_retval=0
1619 else
1620 $as_echo "$as_me: failed program was:" >&5
1621 sed 's/^/| /' conftest.$ac_ext >&5
1622
1623 ac_retval=1
1624 fi
1625 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1626 as_fn_set_status $ac_retval
1627
1628 } # ac_fn_c_try_compile
1629
1630 # ac_fn_c_try_link LINENO
1631 # -----------------------
1632 # Try to link conftest.$ac_ext, and return whether this succeeded.
1633 ac_fn_c_try_link ()
1634 {
1635 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1636 rm -f conftest.$ac_objext conftest$ac_exeext
1637 if { { ac_try="$ac_link"
1638 case "(($ac_try" in
1639 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
1640 *) ac_try_echo=$ac_try;;
1641 esac
1642 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
1643 $as_echo "$ac_try_echo"; } >&5
1644 (eval "$ac_link") 2>conftest.err
1645 ac_status=$?
1646 if test -s conftest.err; then
1647 grep -v '^ *+' conftest.err >conftest.er1
1648 cat conftest.er1 >&5
1649 mv -f conftest.er1 conftest.err
1650 fi
1651 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
1652 test $ac_status = 0; } && {
1653 test -z "$ac_c_werror_flag" ||
1654 test ! -s conftest.err
1655 } && test -s conftest$ac_exeext && {
1656 test "$cross_compiling" = yes ||
1657 test -x conftest$ac_exeext
1658 }; then :
1659 ac_retval=0
1660 else
1661 $as_echo "$as_me: failed program was:" >&5
1662 sed 's/^/| /' conftest.$ac_ext >&5
1663
1664 ac_retval=1
1665 fi
1666 # Delete the IPA/IPO (Inter Procedural Analysis/Optimization) information
1667 # created by the PGI compiler (conftest_ipa8_conftest.oo), as it would
1668 # interfere with the next link command; also delete a directory that is
1669 # left behind by Apple's compiler. We do this before executing the actions.
1670 rm -rf conftest.dSYM conftest_ipa8_conftest.oo
1671 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1672 as_fn_set_status $ac_retval
1673
1674 } # ac_fn_c_try_link
1675
1676 # ac_fn_c_check_type LINENO TYPE VAR INCLUDES
1677 # -------------------------------------------
1678 # Tests whether TYPE exists after having included INCLUDES, setting cache
1679 # variable VAR accordingly.
1680 ac_fn_c_check_type ()
1681 {
1682 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1683 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
1684 $as_echo_n "checking for $2... " >&6; }
1685 if eval \${$3+:} false; then :
1686 $as_echo_n "(cached) " >&6
1687 else
1688 eval "$3=no"
1689 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
1690 /* end confdefs.h. */
1691 $4
1692 int
1693 main ()
1694 {
1695 if (sizeof ($2))
1696 return 0;
1697 ;
1698 return 0;
1699 }
1700 _ACEOF
1701 if ac_fn_c_try_compile "$LINENO"; then :
1702 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
1703 /* end confdefs.h. */
1704 $4
1705 int
1706 main ()
1707 {
1708 if (sizeof (($2)))
1709 return 0;
1710 ;
1711 return 0;
1712 }
1713 _ACEOF
1714 if ac_fn_c_try_compile "$LINENO"; then :
1715
1716 else
1717 eval "$3=yes"
1718 fi
1719 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
1720 fi
1721 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
1722 fi
1723 eval ac_res=\$$3
1724 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
1725 $as_echo "$ac_res" >&6; }
1726 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1727
1728 } # ac_fn_c_check_type
1729
1730 # ac_fn_c_try_cpp LINENO
1731 # ----------------------
1732 # Try to preprocess conftest.$ac_ext, and return whether this succeeded.
1733 ac_fn_c_try_cpp ()
1734 {
1735 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1736 if { { ac_try="$ac_cpp conftest.$ac_ext"
1737 case "(($ac_try" in
1738 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
1739 *) ac_try_echo=$ac_try;;
1740 esac
1741 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
1742 $as_echo "$ac_try_echo"; } >&5
1743 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.err
1744 ac_status=$?
1745 if test -s conftest.err; then
1746 grep -v '^ *+' conftest.err >conftest.er1
1747 cat conftest.er1 >&5
1748 mv -f conftest.er1 conftest.err
1749 fi
1750 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
1751 test $ac_status = 0; } > conftest.i && {
1752 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
1753 test ! -s conftest.err
1754 }; then :
1755 ac_retval=0
1756 else
1757 $as_echo "$as_me: failed program was:" >&5
1758 sed 's/^/| /' conftest.$ac_ext >&5
1759
1760 ac_retval=1
1761 fi
1762 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1763 as_fn_set_status $ac_retval
1764
1765 } # ac_fn_c_try_cpp
1766
1767 # ac_fn_c_try_run LINENO
1768 # ----------------------
1769 # Try to link conftest.$ac_ext, and return whether this succeeded. Assumes
1770 # that executables *can* be run.
1771 ac_fn_c_try_run ()
1772 {
1773 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1774 if { { ac_try="$ac_link"
1775 case "(($ac_try" in
1776 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
1777 *) ac_try_echo=$ac_try;;
1778 esac
1779 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
1780 $as_echo "$ac_try_echo"; } >&5
1781 (eval "$ac_link") 2>&5
1782 ac_status=$?
1783 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
1784 test $ac_status = 0; } && { ac_try='./conftest$ac_exeext'
1785 { { case "(($ac_try" in
1786 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
1787 *) ac_try_echo=$ac_try;;
1788 esac
1789 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
1790 $as_echo "$ac_try_echo"; } >&5
1791 (eval "$ac_try") 2>&5
1792 ac_status=$?
1793 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
1794 test $ac_status = 0; }; }; then :
1795 ac_retval=0
1796 else
1797 $as_echo "$as_me: program exited with status $ac_status" >&5
1798 $as_echo "$as_me: failed program was:" >&5
1799 sed 's/^/| /' conftest.$ac_ext >&5
1800
1801 ac_retval=$ac_status
1802 fi
1803 rm -rf conftest.dSYM conftest_ipa8_conftest.oo
1804 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1805 as_fn_set_status $ac_retval
1806
1807 } # ac_fn_c_try_run
1808
1809 # ac_fn_c_check_header_compile LINENO HEADER VAR INCLUDES
1810 # -------------------------------------------------------
1811 # Tests whether HEADER exists and can be compiled using the include files in
1812 # INCLUDES, setting the cache variable VAR accordingly.
1813 ac_fn_c_check_header_compile ()
1814 {
1815 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1816 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
1817 $as_echo_n "checking for $2... " >&6; }
1818 if eval \${$3+:} false; then :
1819 $as_echo_n "(cached) " >&6
1820 else
1821 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
1822 /* end confdefs.h. */
1823 $4
1824 #include <$2>
1825 _ACEOF
1826 if ac_fn_c_try_compile "$LINENO"; then :
1827 eval "$3=yes"
1828 else
1829 eval "$3=no"
1830 fi
1831 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
1832 fi
1833 eval ac_res=\$$3
1834 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
1835 $as_echo "$ac_res" >&6; }
1836 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1837
1838 } # ac_fn_c_check_header_compile
1839
1840 # ac_fn_c_check_func LINENO FUNC VAR
1841 # ----------------------------------
1842 # Tests whether FUNC exists, setting the cache variable VAR accordingly
1843 ac_fn_c_check_func ()
1844 {
1845 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1846 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
1847 $as_echo_n "checking for $2... " >&6; }
1848 if eval \${$3+:} false; then :
1849 $as_echo_n "(cached) " >&6
1850 else
1851 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
1852 /* end confdefs.h. */
1853 /* Define $2 to an innocuous variant, in case <limits.h> declares $2.
1854 For example, HP-UX 11i <limits.h> declares gettimeofday. */
1855 #define $2 innocuous_$2
1856
1857 /* System header to define __stub macros and hopefully few prototypes,
1858 which can conflict with char $2 (); below.
1859 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
1860 <limits.h> exists even on freestanding compilers. */
1861
1862 #ifdef __STDC__
1863 # include <limits.h>
1864 #else
1865 # include <assert.h>
1866 #endif
1867
1868 #undef $2
1869
1870 /* Override any GCC internal prototype to avoid an error.
1871 Use char because int might match the return type of a GCC
1872 builtin and then its argument prototype would still apply. */
1873 #ifdef __cplusplus
1874 extern "C"
1875 #endif
1876 char $2 ();
1877 /* The GNU C library defines this for functions which it implements
1878 to always fail with ENOSYS. Some functions are actually named
1879 something starting with __ and the normal name is an alias. */
1880 #if defined __stub_$2 || defined __stub___$2
1881 choke me
1882 #endif
1883
1884 int
1885 main ()
1886 {
1887 return $2 ();
1888 ;
1889 return 0;
1890 }
1891 _ACEOF
1892 if ac_fn_c_try_link "$LINENO"; then :
1893 eval "$3=yes"
1894 else
1895 eval "$3=no"
1896 fi
1897 rm -f core conftest.err conftest.$ac_objext \
1898 conftest$ac_exeext conftest.$ac_ext
1899 fi
1900 eval ac_res=\$$3
1901 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
1902 $as_echo "$ac_res" >&6; }
1903 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1904
1905 } # ac_fn_c_check_func
1906
1907 # ac_fn_c_check_header_mongrel LINENO HEADER VAR INCLUDES
1908 # -------------------------------------------------------
1909 # Tests whether HEADER exists, giving a warning if it cannot be compiled using
1910 # the include files in INCLUDES and setting the cache variable VAR
1911 # accordingly.
1912 ac_fn_c_check_header_mongrel ()
1913 {
1914 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
1915 if eval \${$3+:} false; then :
1916 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
1917 $as_echo_n "checking for $2... " >&6; }
1918 if eval \${$3+:} false; then :
1919 $as_echo_n "(cached) " >&6
1920 fi
1921 eval ac_res=\$$3
1922 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
1923 $as_echo "$ac_res" >&6; }
1924 else
1925 # Is the header compilable?
1926 { $as_echo "$as_me:${as_lineno-$LINENO}: checking $2 usability" >&5
1927 $as_echo_n "checking $2 usability... " >&6; }
1928 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
1929 /* end confdefs.h. */
1930 $4
1931 #include <$2>
1932 _ACEOF
1933 if ac_fn_c_try_compile "$LINENO"; then :
1934 ac_header_compiler=yes
1935 else
1936 ac_header_compiler=no
1937 fi
1938 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
1939 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_header_compiler" >&5
1940 $as_echo "$ac_header_compiler" >&6; }
1941
1942 # Is the header present?
1943 { $as_echo "$as_me:${as_lineno-$LINENO}: checking $2 presence" >&5
1944 $as_echo_n "checking $2 presence... " >&6; }
1945 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
1946 /* end confdefs.h. */
1947 #include <$2>
1948 _ACEOF
1949 if ac_fn_c_try_cpp "$LINENO"; then :
1950 ac_header_preproc=yes
1951 else
1952 ac_header_preproc=no
1953 fi
1954 rm -f conftest.err conftest.i conftest.$ac_ext
1955 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_header_preproc" >&5
1956 $as_echo "$ac_header_preproc" >&6; }
1957
1958 # So? What about this header?
1959 case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in #((
1960 yes:no: )
1961 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: accepted by the compiler, rejected by the preprocessor!" >&5
1962 $as_echo "$as_me: WARNING: $2: accepted by the compiler, rejected by the preprocessor!" >&2;}
1963 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: proceeding with the compiler's result" >&5
1964 $as_echo "$as_me: WARNING: $2: proceeding with the compiler's result" >&2;}
1965 ;;
1966 no:yes:* )
1967 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: present but cannot be compiled" >&5
1968 $as_echo "$as_me: WARNING: $2: present but cannot be compiled" >&2;}
1969 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: check for missing prerequisite headers?" >&5
1970 $as_echo "$as_me: WARNING: $2: check for missing prerequisite headers?" >&2;}
1971 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: see the Autoconf documentation" >&5
1972 $as_echo "$as_me: WARNING: $2: see the Autoconf documentation" >&2;}
1973 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: section \"Present But Cannot Be Compiled\"" >&5
1974 $as_echo "$as_me: WARNING: $2: section \"Present But Cannot Be Compiled\"" >&2;}
1975 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $2: proceeding with the compiler's result" >&5
1976 $as_echo "$as_me: WARNING: $2: proceeding with the compiler's result" >&2;}
1977 ( $as_echo "## ------------------------------------ ##
1978 ## Report this to bybell@rocketmail.com ##
1979 ## ------------------------------------ ##"
1980 ) | sed "s/^/$as_me: WARNING: /" >&2
1981 ;;
1982 esac
1983 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $2" >&5
1984 $as_echo_n "checking for $2... " >&6; }
1985 if eval \${$3+:} false; then :
1986 $as_echo_n "(cached) " >&6
1987 else
1988 eval "$3=\$ac_header_compiler"
1989 fi
1990 eval ac_res=\$$3
1991 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
1992 $as_echo "$ac_res" >&6; }
1993 fi
1994 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
1995
1996 } # ac_fn_c_check_header_mongrel
1997
1998 # ac_fn_c_compute_int LINENO EXPR VAR INCLUDES
1999 # --------------------------------------------
2000 # Tries to find the compile-time value of EXPR in a program that includes
2001 # INCLUDES, setting VAR accordingly. Returns whether the value could be
2002 # computed
2003 ac_fn_c_compute_int ()
2004 {
2005 as_lineno=${as_lineno-"$1"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
2006 if test "$cross_compiling" = yes; then
2007 # Depending upon the size, compute the lo and hi bounds.
2008 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
2009 /* end confdefs.h. */
2010 $4
2011 int
2012 main ()
2013 {
2014 static int test_array [1 - 2 * !(($2) >= 0)];
2015 test_array [0] = 0;
2016 return test_array [0];
2017
2018 ;
2019 return 0;
2020 }
2021 _ACEOF
2022 if ac_fn_c_try_compile "$LINENO"; then :
2023 ac_lo=0 ac_mid=0
2024 while :; do
2025 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
2026 /* end confdefs.h. */
2027 $4
2028 int
2029 main ()
2030 {
2031 static int test_array [1 - 2 * !(($2) <= $ac_mid)];
2032 test_array [0] = 0;
2033 return test_array [0];
2034
2035 ;
2036 return 0;
2037 }
2038 _ACEOF
2039 if ac_fn_c_try_compile "$LINENO"; then :
2040 ac_hi=$ac_mid; break
2041 else
2042 as_fn_arith $ac_mid + 1 && ac_lo=$as_val
2043 if test $ac_lo -le $ac_mid; then
2044 ac_lo= ac_hi=
2045 break
2046 fi
2047 as_fn_arith 2 '*' $ac_mid + 1 && ac_mid=$as_val
2048 fi
2049 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
2050 done
2051 else
2052 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
2053 /* end confdefs.h. */
2054 $4
2055 int
2056 main ()
2057 {
2058 static int test_array [1 - 2 * !(($2) < 0)];
2059 test_array [0] = 0;
2060 return test_array [0];
2061
2062 ;
2063 return 0;
2064 }
2065 _ACEOF
2066 if ac_fn_c_try_compile "$LINENO"; then :
2067 ac_hi=-1 ac_mid=-1
2068 while :; do
2069 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
2070 /* end confdefs.h. */
2071 $4
2072 int
2073 main ()
2074 {
2075 static int test_array [1 - 2 * !(($2) >= $ac_mid)];
2076 test_array [0] = 0;
2077 return test_array [0];
2078
2079 ;
2080 return 0;
2081 }
2082 _ACEOF
2083 if ac_fn_c_try_compile "$LINENO"; then :
2084 ac_lo=$ac_mid; break
2085 else
2086 as_fn_arith '(' $ac_mid ')' - 1 && ac_hi=$as_val
2087 if test $ac_mid -le $ac_hi; then
2088 ac_lo= ac_hi=
2089 break
2090 fi
2091 as_fn_arith 2 '*' $ac_mid && ac_mid=$as_val
2092 fi
2093 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
2094 done
2095 else
2096 ac_lo= ac_hi=
2097 fi
2098 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
2099 fi
2100 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
2101 # Binary search between lo and hi bounds.
2102 while test "x$ac_lo" != "x$ac_hi"; do
2103 as_fn_arith '(' $ac_hi - $ac_lo ')' / 2 + $ac_lo && ac_mid=$as_val
2104 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
2105 /* end confdefs.h. */
2106 $4
2107 int
2108 main ()
2109 {
2110 static int test_array [1 - 2 * !(($2) <= $ac_mid)];
2111 test_array [0] = 0;
2112 return test_array [0];
2113
2114 ;
2115 return 0;
2116 }
2117 _ACEOF
2118 if ac_fn_c_try_compile "$LINENO"; then :
2119 ac_hi=$ac_mid
2120 else
2121 as_fn_arith '(' $ac_mid ')' + 1 && ac_lo=$as_val
2122 fi
2123 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
2124 done
2125 case $ac_lo in #((
2126 ?*) eval "$3=\$ac_lo"; ac_retval=0 ;;
2127 '') ac_retval=1 ;;
2128 esac
2129 else
2130 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
2131 /* end confdefs.h. */
2132 $4
2133 static long int longval () { return $2; }
2134 static unsigned long int ulongval () { return $2; }
2135 #include <stdio.h>
2136 #include <stdlib.h>
2137 int
2138 main ()
2139 {
2140
2141 FILE *f = fopen ("conftest.val", "w");
2142 if (! f)
2143 return 1;
2144 if (($2) < 0)
2145 {
2146 long int i = longval ();
2147 if (i != ($2))
2148 return 1;
2149 fprintf (f, "%ld", i);
2150 }
2151 else
2152 {
2153 unsigned long int i = ulongval ();
2154 if (i != ($2))
2155 return 1;
2156 fprintf (f, "%lu", i);
2157 }
2158 /* Do not output a trailing newline, as this causes \r\n confusion
2159 on some platforms. */
2160 return ferror (f) || fclose (f) != 0;
2161
2162 ;
2163 return 0;
2164 }
2165 _ACEOF
2166 if ac_fn_c_try_run "$LINENO"; then :
2167 echo >>conftest.val; read $3 <conftest.val; ac_retval=0
2168 else
2169 ac_retval=1
2170 fi
2171 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
2172 conftest.$ac_objext conftest.beam conftest.$ac_ext
2173 rm -f conftest.val
2174
2175 fi
2176 eval $as_lineno_stack; ${as_lineno_stack:+:} unset as_lineno
2177 as_fn_set_status $ac_retval
2178
2179 } # ac_fn_c_compute_int
16022180 cat >config.log <<_ACEOF
16032181 This file contains any messages produced by compilers while
16042182 running configure, to aid debugging if configure makes a mistake.
16052183
1606 It was created by gtkwave $as_me 3.3.45, which was
1607 generated by GNU Autoconf 2.63. Invocation command line was
2184 It was created by gtkwave $as_me 3.3.46, which was
2185 generated by GNU Autoconf 2.69. Invocation command line was
16082186
16092187 $ $0 $@
16102188
16402218 do
16412219 IFS=$as_save_IFS
16422220 test -z "$as_dir" && as_dir=.
1643 $as_echo "PATH: $as_dir"
1644 done
2221 $as_echo "PATH: $as_dir"
2222 done
16452223 IFS=$as_save_IFS
16462224
16472225 } >&5
16782256 ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
16792257 esac
16802258 case $ac_pass in
1681 1) ac_configure_args0="$ac_configure_args0 '$ac_arg'" ;;
2259 1) as_fn_append ac_configure_args0 " '$ac_arg'" ;;
16822260 2)
1683 ac_configure_args1="$ac_configure_args1 '$ac_arg'"
2261 as_fn_append ac_configure_args1 " '$ac_arg'"
16842262 if test $ac_must_keep_next = true; then
16852263 ac_must_keep_next=false # Got value, back to normal.
16862264 else
16962274 -* ) ac_must_keep_next=true ;;
16972275 esac
16982276 fi
1699 ac_configure_args="$ac_configure_args '$ac_arg'"
2277 as_fn_append ac_configure_args " '$ac_arg'"
17002278 ;;
17012279 esac
17022280 done
17032281 done
1704 $as_unset ac_configure_args0 || test "${ac_configure_args0+set}" != set || { ac_configure_args0=; export ac_configure_args0; }
1705 $as_unset ac_configure_args1 || test "${ac_configure_args1+set}" != set || { ac_configure_args1=; export ac_configure_args1; }
2282 { ac_configure_args0=; unset ac_configure_args0;}
2283 { ac_configure_args1=; unset ac_configure_args1;}
17062284
17072285 # When interrupted or exit'd, cleanup temporary files, and complete
17082286 # config.log. We remove comments because anyway the quotes in there
17142292 {
17152293 echo
17162294
1717 cat <<\_ASBOX
1718 ## ---------------- ##
2295 $as_echo "## ---------------- ##
17192296 ## Cache variables. ##
1720 ## ---------------- ##
1721 _ASBOX
2297 ## ---------------- ##"
17222298 echo
17232299 # The following way of writing the cache mishandles newlines in values,
17242300 (
17272303 case $ac_val in #(
17282304 *${as_nl}*)
17292305 case $ac_var in #(
1730 *_cv_*) { $as_echo "$as_me:$LINENO: WARNING: cache variable $ac_var contains a newline" >&5
2306 *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
17312307 $as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
17322308 esac
17332309 case $ac_var in #(
17342310 _ | IFS | as_nl) ;; #(
17352311 BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
1736 *) $as_unset $ac_var ;;
2312 *) { eval $ac_var=; unset $ac_var;} ;;
17372313 esac ;;
17382314 esac
17392315 done
17522328 )
17532329 echo
17542330
1755 cat <<\_ASBOX
1756 ## ----------------- ##
2331 $as_echo "## ----------------- ##
17572332 ## Output variables. ##
1758 ## ----------------- ##
1759 _ASBOX
2333 ## ----------------- ##"
17602334 echo
17612335 for ac_var in $ac_subst_vars
17622336 do
17692343 echo
17702344
17712345 if test -n "$ac_subst_files"; then
1772 cat <<\_ASBOX
1773 ## ------------------- ##
2346 $as_echo "## ------------------- ##
17742347 ## File substitutions. ##
1775 ## ------------------- ##
1776 _ASBOX
2348 ## ------------------- ##"
17772349 echo
17782350 for ac_var in $ac_subst_files
17792351 do
17872359 fi
17882360
17892361 if test -s confdefs.h; then
1790 cat <<\_ASBOX
1791 ## ----------- ##
2362 $as_echo "## ----------- ##
17922363 ## confdefs.h. ##
1793 ## ----------- ##
1794 _ASBOX
2364 ## ----------- ##"
17952365 echo
17962366 cat confdefs.h
17972367 echo
18052375 exit $exit_status
18062376 ' 0
18072377 for ac_signal in 1 2 13 15; do
1808 trap 'ac_signal='$ac_signal'; { (exit 1); exit 1; }' $ac_signal
2378 trap 'ac_signal='$ac_signal'; as_fn_exit 1' $ac_signal
18092379 done
18102380 ac_signal=0
18112381
18122382 # confdefs.h avoids OS command line length limits that DEFS can exceed.
18132383 rm -f -r conftest* confdefs.h
18142384
2385 $as_echo "/* confdefs.h */" > confdefs.h
2386
18152387 # Predefined preprocessor variables.
18162388
18172389 cat >>confdefs.h <<_ACEOF
18182390 #define PACKAGE_NAME "$PACKAGE_NAME"
18192391 _ACEOF
18202392
1821
18222393 cat >>confdefs.h <<_ACEOF
18232394 #define PACKAGE_TARNAME "$PACKAGE_TARNAME"
18242395 _ACEOF
18252396
1826
18272397 cat >>confdefs.h <<_ACEOF
18282398 #define PACKAGE_VERSION "$PACKAGE_VERSION"
18292399 _ACEOF
18302400
1831
18322401 cat >>confdefs.h <<_ACEOF
18332402 #define PACKAGE_STRING "$PACKAGE_STRING"
18342403 _ACEOF
18352404
1836
18372405 cat >>confdefs.h <<_ACEOF
18382406 #define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
2407 _ACEOF
2408
2409 cat >>confdefs.h <<_ACEOF
2410 #define PACKAGE_URL "$PACKAGE_URL"
18392411 _ACEOF
18402412
18412413
18442416 ac_site_file1=NONE
18452417 ac_site_file2=NONE
18462418 if test -n "$CONFIG_SITE"; then
1847 ac_site_file1=$CONFIG_SITE
2419 # We do not want a PATH search for config.site.
2420 case $CONFIG_SITE in #((
2421 -*) ac_site_file1=./$CONFIG_SITE;;
2422 */*) ac_site_file1=$CONFIG_SITE;;
2423 *) ac_site_file1=./$CONFIG_SITE;;
2424 esac
18482425 elif test "x$prefix" != xNONE; then
18492426 ac_site_file1=$prefix/share/config.site
18502427 ac_site_file2=$prefix/etc/config.site
18552432 for ac_site_file in "$ac_site_file1" "$ac_site_file2"
18562433 do
18572434 test "x$ac_site_file" = xNONE && continue
1858 if test -r "$ac_site_file"; then
1859 { $as_echo "$as_me:$LINENO: loading site script $ac_site_file" >&5
2435 if test /dev/null != "$ac_site_file" && test -r "$ac_site_file"; then
2436 { $as_echo "$as_me:${as_lineno-$LINENO}: loading site script $ac_site_file" >&5
18602437 $as_echo "$as_me: loading site script $ac_site_file" >&6;}
18612438 sed 's/^/| /' "$ac_site_file" >&5
1862 . "$ac_site_file"
2439 . "$ac_site_file" \
2440 || { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
2441 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
2442 as_fn_error $? "failed to load site script $ac_site_file
2443 See \`config.log' for more details" "$LINENO" 5; }
18632444 fi
18642445 done
18652446
18662447 if test -r "$cache_file"; then
1867 # Some versions of bash will fail to source /dev/null (special
1868 # files actually), so we avoid doing that.
1869 if test -f "$cache_file"; then
1870 { $as_echo "$as_me:$LINENO: loading cache $cache_file" >&5
2448 # Some versions of bash will fail to source /dev/null (special files
2449 # actually), so we avoid doing that. DJGPP emulates it as a regular file.
2450 if test /dev/null != "$cache_file" && test -f "$cache_file"; then
2451 { $as_echo "$as_me:${as_lineno-$LINENO}: loading cache $cache_file" >&5
18712452 $as_echo "$as_me: loading cache $cache_file" >&6;}
18722453 case $cache_file in
18732454 [\\/]* | ?:[\\/]* ) . "$cache_file";;
18752456 esac
18762457 fi
18772458 else
1878 { $as_echo "$as_me:$LINENO: creating cache $cache_file" >&5
2459 { $as_echo "$as_me:${as_lineno-$LINENO}: creating cache $cache_file" >&5
18792460 $as_echo "$as_me: creating cache $cache_file" >&6;}
18802461 >$cache_file
18812462 fi
18822463
2464 as_fn_append ac_header_list " stdlib.h"
2465 as_fn_append ac_header_list " unistd.h"
2466 as_fn_append ac_header_list " sys/param.h"
18832467 # Check that the precious variables saved in the cache have kept the same
18842468 # value.
18852469 ac_cache_corrupted=false
18902474 eval ac_new_val=\$ac_env_${ac_var}_value
18912475 case $ac_old_set,$ac_new_set in
18922476 set,)
1893 { $as_echo "$as_me:$LINENO: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
2477 { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
18942478 $as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
18952479 ac_cache_corrupted=: ;;
18962480 ,set)
1897 { $as_echo "$as_me:$LINENO: error: \`$ac_var' was not set in the previous run" >&5
2481 { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' was not set in the previous run" >&5
18982482 $as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
18992483 ac_cache_corrupted=: ;;
19002484 ,);;
19042488 ac_old_val_w=`echo x $ac_old_val`
19052489 ac_new_val_w=`echo x $ac_new_val`
19062490 if test "$ac_old_val_w" != "$ac_new_val_w"; then
1907 { $as_echo "$as_me:$LINENO: error: \`$ac_var' has changed since the previous run:" >&5
2491 { $as_echo "$as_me:${as_lineno-$LINENO}: error: \`$ac_var' has changed since the previous run:" >&5
19082492 $as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
19092493 ac_cache_corrupted=:
19102494 else
1911 { $as_echo "$as_me:$LINENO: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
2495 { $as_echo "$as_me:${as_lineno-$LINENO}: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
19122496 $as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
19132497 eval $ac_var=\$ac_old_val
19142498 fi
1915 { $as_echo "$as_me:$LINENO: former value: \`$ac_old_val'" >&5
2499 { $as_echo "$as_me:${as_lineno-$LINENO}: former value: \`$ac_old_val'" >&5
19162500 $as_echo "$as_me: former value: \`$ac_old_val'" >&2;}
1917 { $as_echo "$as_me:$LINENO: current value: \`$ac_new_val'" >&5
2501 { $as_echo "$as_me:${as_lineno-$LINENO}: current value: \`$ac_new_val'" >&5
19182502 $as_echo "$as_me: current value: \`$ac_new_val'" >&2;}
19192503 fi;;
19202504 esac
19262510 esac
19272511 case " $ac_configure_args " in
19282512 *" '$ac_arg' "*) ;; # Avoid dups. Use of quotes ensures accuracy.
1929 *) ac_configure_args="$ac_configure_args '$ac_arg'" ;;
2513 *) as_fn_append ac_configure_args " '$ac_arg'" ;;
19302514 esac
19312515 fi
19322516 done
19332517 if $ac_cache_corrupted; then
1934 { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
2518 { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
19352519 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
1936 { $as_echo "$as_me:$LINENO: error: changes in the environment can compromise the build" >&5
2520 { $as_echo "$as_me:${as_lineno-$LINENO}: error: changes in the environment can compromise the build" >&5
19372521 $as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
1938 { { $as_echo "$as_me:$LINENO: error: run \`make distclean' and/or \`rm $cache_file' and start over" >&5
1939 $as_echo "$as_me: error: run \`make distclean' and/or \`rm $cache_file' and start over" >&2;}
1940 { (exit 1); exit 1; }; }
1941 fi
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
2522 as_fn_error $? "run \`make distclean' and/or \`rm $cache_file' and start over" "$LINENO" 5
2523 fi
2524 ## -------------------- ##
2525 ## Main body of script. ##
2526 ## -------------------- ##
19662527
19672528 ac_ext=c
19682529 ac_cpp='$CPP $CPPFLAGS'
19722533
19732534
19742535
1975 am__api_version='1.11'
2536 am__api_version='1.13'
19762537
19772538 ac_aux_dir=
19782539 for ac_dir in "$srcdir" "$srcdir/.." "$srcdir/../.."; do
19912552 fi
19922553 done
19932554 if test -z "$ac_aux_dir"; then
1994 { { $as_echo "$as_me:$LINENO: error: cannot find install-sh or install.sh in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" >&5
1995 $as_echo "$as_me: error: cannot find install-sh or install.sh in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" >&2;}
1996 { (exit 1); exit 1; }; }
2555 as_fn_error $? "cannot find install-sh, install.sh, or shtool in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" "$LINENO" 5
19972556 fi
19982557
19992558 # These three variables are undocumented and unsupported,
20192578 # OS/2's system install, which has a completely different semantic
20202579 # ./install, which can be erroneously created by make from ./install.sh.
20212580 # Reject install programs that cannot install multiple files.
2022 { $as_echo "$as_me:$LINENO: checking for a BSD-compatible install" >&5
2581 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for a BSD-compatible install" >&5
20232582 $as_echo_n "checking for a BSD-compatible install... " >&6; }
20242583 if test -z "$INSTALL"; then
2025 if test "${ac_cv_path_install+set}" = set; then
2584 if ${ac_cv_path_install+:} false; then :
20262585 $as_echo_n "(cached) " >&6
20272586 else
20282587 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
20302589 do
20312590 IFS=$as_save_IFS
20322591 test -z "$as_dir" && as_dir=.
2033 # Account for people who put trailing slashes in PATH elements.
2034 case $as_dir/ in
2035 ./ | .// | /cC/* | \
2592 # Account for people who put trailing slashes in PATH elements.
2593 case $as_dir/ in #((
2594 ./ | .// | /[cC]/* | \
20362595 /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
2037 ?:\\/os2\\/install\\/* | ?:\\/OS2\\/INSTALL\\/* | \
2596 ?:[\\/]os2[\\/]install[\\/]* | ?:[\\/]OS2[\\/]INSTALL[\\/]* | \
20382597 /usr/ucb/* ) ;;
20392598 *)
20402599 # OSF1 and SCO ODT 3.0 have their own names for install.
20422601 # by default.
20432602 for ac_prog in ginstall scoinst install; do
20442603 for ac_exec_ext in '' $ac_executable_extensions; do
2045 if { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; }; then
2604 if as_fn_executable_p "$as_dir/$ac_prog$ac_exec_ext"; then
20462605 if test $ac_prog = install &&
20472606 grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
20482607 # AIX install. It has an incompatible calling convention.
20712630 ;;
20722631 esac
20732632
2074 done
2633 done
20752634 IFS=$as_save_IFS
20762635
20772636 rm -rf conftest.one conftest.two conftest.dir
20872646 INSTALL=$ac_install_sh
20882647 fi
20892648 fi
2090 { $as_echo "$as_me:$LINENO: result: $INSTALL" >&5
2649 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $INSTALL" >&5
20912650 $as_echo "$INSTALL" >&6; }
20922651
20932652 # Use test -z because SunOS4 sh mishandles braces in ${var-val}.
20982657
20992658 test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
21002659
2101 { $as_echo "$as_me:$LINENO: checking whether build environment is sane" >&5
2660 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether build environment is sane" >&5
21022661 $as_echo_n "checking whether build environment is sane... " >&6; }
2103 # Just in case
2104 sleep 1
2105 echo timestamp > conftest.file
21062662 # Reject unsafe characters in $srcdir or the absolute working directory
21072663 # name. Accept space and tab only in the latter.
21082664 am_lf='
21092665 '
21102666 case `pwd` in
21112667 *[\\\"\#\$\&\'\`$am_lf]*)
2112 { { $as_echo "$as_me:$LINENO: error: unsafe absolute working directory name" >&5
2113 $as_echo "$as_me: error: unsafe absolute working directory name" >&2;}
2114 { (exit 1); exit 1; }; };;
2668 as_fn_error $? "unsafe absolute working directory name" "$LINENO" 5;;
21152669 esac
21162670 case $srcdir in
21172671 *[\\\"\#\$\&\'\`$am_lf\ \ ]*)
2118 { { $as_echo "$as_me:$LINENO: error: unsafe srcdir value: \`$srcdir'" >&5
2119 $as_echo "$as_me: error: unsafe srcdir value: \`$srcdir'" >&2;}
2120 { (exit 1); exit 1; }; };;
2672 as_fn_error $? "unsafe srcdir value: '$srcdir'" "$LINENO" 5;;
21212673 esac
21222674
2123 # Do `set' in a subshell so we don't clobber the current shell's
2675 # Do 'set' in a subshell so we don't clobber the current shell's
21242676 # arguments. Must try -L first in case configure is actually a
21252677 # symlink; some systems play weird games with the mod time of symlinks
21262678 # (eg FreeBSD returns the mod time of the symlink's containing
21272679 # directory).
21282680 if (
2129 set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
2130 if test "$*" = "X"; then
2131 # -L didn't work.
2132 set X `ls -t "$srcdir/configure" conftest.file`
2133 fi
2134 rm -f conftest.file
2135 if test "$*" != "X $srcdir/configure conftest.file" \
2136 && test "$*" != "X conftest.file $srcdir/configure"; then
2137
2138 # If neither matched, then we have a broken ls. This can happen
2139 # if, for instance, CONFIG_SHELL is bash and it inherits a
2140 # broken ls alias from the environment. This has actually
2141 # happened. Such a system could not be considered "sane".
2142 { { $as_echo "$as_me:$LINENO: error: ls -t appears to fail. Make sure there is not a broken
2143 alias in your environment" >&5
2144 $as_echo "$as_me: error: ls -t appears to fail. Make sure there is not a broken
2145 alias in your environment" >&2;}
2146 { (exit 1); exit 1; }; }
2147 fi
2148
2681 am_has_slept=no
2682 for am_try in 1 2; do
2683 echo "timestamp, slept: $am_has_slept" > conftest.file
2684 set X `ls -Lt "$srcdir/configure" conftest.file 2> /dev/null`
2685 if test "$*" = "X"; then
2686 # -L didn't work.
2687 set X `ls -t "$srcdir/configure" conftest.file`
2688 fi
2689 if test "$*" != "X $srcdir/configure conftest.file" \
2690 && test "$*" != "X conftest.file $srcdir/configure"; then
2691
2692 # If neither matched, then we have a broken ls. This can happen
2693 # if, for instance, CONFIG_SHELL is bash and it inherits a
2694 # broken ls alias from the environment. This has actually
2695 # happened. Such a system could not be considered "sane".
2696 as_fn_error $? "ls -t appears to fail. Make sure there is not a broken
2697 alias in your environment" "$LINENO" 5
2698 fi
2699 if test "$2" = conftest.file || test $am_try -eq 2; then
2700 break
2701 fi
2702 # Just in case.
2703 sleep 1
2704 am_has_slept=yes
2705 done
21492706 test "$2" = conftest.file
21502707 )
21512708 then
21522709 # Ok.
21532710 :
21542711 else
2155 { { $as_echo "$as_me:$LINENO: error: newly created file is older than distributed files!
2156 Check your system clock" >&5
2157 $as_echo "$as_me: error: newly created file is older than distributed files!
2158 Check your system clock" >&2;}
2159 { (exit 1); exit 1; }; }
2160 fi
2161 { $as_echo "$as_me:$LINENO: result: yes" >&5
2712 as_fn_error $? "newly created file is older than distributed files!
2713 Check your system clock" "$LINENO" 5
2714 fi
2715 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
21622716 $as_echo "yes" >&6; }
2717 # If we didn't sleep, we still need to ensure time stamps of config.status and
2718 # generated files are strictly newer.
2719 am_sleep_pid=
2720 if grep 'slept: no' conftest.file >/dev/null 2>&1; then
2721 ( sleep 1 ) &
2722 am_sleep_pid=$!
2723 fi
2724
2725 rm -f conftest.file
2726
21632727 test "$program_prefix" != NONE &&
21642728 program_transform_name="s&^&$program_prefix&;$program_transform_name"
21652729 # Use a double $ so make ignores it.
21822746 esac
21832747 fi
21842748 # Use eval to expand $SHELL
2185 if eval "$MISSING --run true"; then
2186 am_missing_run="$MISSING --run "
2749 if eval "$MISSING --is-lightweight"; then
2750 am_missing_run="$MISSING "
21872751 else
21882752 am_missing_run=
2189 { $as_echo "$as_me:$LINENO: WARNING: \`missing' script is too old or missing" >&5
2190 $as_echo "$as_me: WARNING: \`missing' script is too old or missing" >&2;}
2753 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: 'missing' script is too old or missing" >&5
2754 $as_echo "$as_me: WARNING: 'missing' script is too old or missing" >&2;}
21912755 fi
21922756
21932757 if test x"${install_sh}" != xset; then
21992763 esac
22002764 fi
22012765
2202 # Installed binaries are usually stripped using `strip' when the user
2203 # run `make install-strip'. However `strip' might not be the right
2766 # Installed binaries are usually stripped using 'strip' when the user
2767 # run "make install-strip". However 'strip' might not be the right
22042768 # tool to use in cross-compilation environments, therefore Automake
2205 # will honor the `STRIP' environment variable to overrule this program.
2769 # will honor the 'STRIP' environment variable to overrule this program.
22062770 if test "$cross_compiling" != no; then
22072771 if test -n "$ac_tool_prefix"; then
22082772 # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
22092773 set dummy ${ac_tool_prefix}strip; ac_word=$2
2210 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
2774 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
22112775 $as_echo_n "checking for $ac_word... " >&6; }
2212 if test "${ac_cv_prog_STRIP+set}" = set; then
2776 if ${ac_cv_prog_STRIP+:} false; then :
22132777 $as_echo_n "(cached) " >&6
22142778 else
22152779 if test -n "$STRIP"; then
22202784 do
22212785 IFS=$as_save_IFS
22222786 test -z "$as_dir" && as_dir=.
2223 for ac_exec_ext in '' $ac_executable_extensions; do
2224 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
2787 for ac_exec_ext in '' $ac_executable_extensions; do
2788 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
22252789 ac_cv_prog_STRIP="${ac_tool_prefix}strip"
2226 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
2790 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
22272791 break 2
22282792 fi
22292793 done
2230 done
2794 done
22312795 IFS=$as_save_IFS
22322796
22332797 fi
22342798 fi
22352799 STRIP=$ac_cv_prog_STRIP
22362800 if test -n "$STRIP"; then
2237 { $as_echo "$as_me:$LINENO: result: $STRIP" >&5
2801 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STRIP" >&5
22382802 $as_echo "$STRIP" >&6; }
22392803 else
2240 { $as_echo "$as_me:$LINENO: result: no" >&5
2804 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
22412805 $as_echo "no" >&6; }
22422806 fi
22432807
22472811 ac_ct_STRIP=$STRIP
22482812 # Extract the first word of "strip", so it can be a program name with args.
22492813 set dummy strip; ac_word=$2
2250 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
2814 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
22512815 $as_echo_n "checking for $ac_word... " >&6; }
2252 if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then
2816 if ${ac_cv_prog_ac_ct_STRIP+:} false; then :
22532817 $as_echo_n "(cached) " >&6
22542818 else
22552819 if test -n "$ac_ct_STRIP"; then
22602824 do
22612825 IFS=$as_save_IFS
22622826 test -z "$as_dir" && as_dir=.
2263 for ac_exec_ext in '' $ac_executable_extensions; do
2264 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
2827 for ac_exec_ext in '' $ac_executable_extensions; do
2828 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
22652829 ac_cv_prog_ac_ct_STRIP="strip"
2266 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
2830 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
22672831 break 2
22682832 fi
22692833 done
2270 done
2834 done
22712835 IFS=$as_save_IFS
22722836
22732837 fi
22742838 fi
22752839 ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
22762840 if test -n "$ac_ct_STRIP"; then
2277 { $as_echo "$as_me:$LINENO: result: $ac_ct_STRIP" >&5
2841 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_STRIP" >&5
22782842 $as_echo "$ac_ct_STRIP" >&6; }
22792843 else
2280 { $as_echo "$as_me:$LINENO: result: no" >&5
2844 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
22812845 $as_echo "no" >&6; }
22822846 fi
22832847
22862850 else
22872851 case $cross_compiling:$ac_tool_warned in
22882852 yes:)
2289 { $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
2853 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
22902854 $as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
22912855 ac_tool_warned=yes ;;
22922856 esac
22992863 fi
23002864 INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
23012865
2302 { $as_echo "$as_me:$LINENO: checking for a thread-safe mkdir -p" >&5
2866 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for a thread-safe mkdir -p" >&5
23032867 $as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
23042868 if test -z "$MKDIR_P"; then
2305 if test "${ac_cv_path_mkdir+set}" = set; then
2869 if ${ac_cv_path_mkdir+:} false; then :
23062870 $as_echo_n "(cached) " >&6
23072871 else
23082872 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
23102874 do
23112875 IFS=$as_save_IFS
23122876 test -z "$as_dir" && as_dir=.
2313 for ac_prog in mkdir gmkdir; do
2877 for ac_prog in mkdir gmkdir; do
23142878 for ac_exec_ext in '' $ac_executable_extensions; do
2315 { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
2879 as_fn_executable_p "$as_dir/$ac_prog$ac_exec_ext" || continue
23162880 case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
23172881 'mkdir (GNU coreutils) '* | \
23182882 'mkdir (coreutils) '* | \
23222886 esac
23232887 done
23242888 done
2325 done
2889 done
23262890 IFS=$as_save_IFS
23272891
23282892 fi
23292893
2894 test -d ./--version && rmdir ./--version
23302895 if test "${ac_cv_path_mkdir+set}" = set; then
23312896 MKDIR_P="$ac_cv_path_mkdir -p"
23322897 else
23342899 # value for MKDIR_P within a source directory, because that will
23352900 # break other packages using the cache if that directory is
23362901 # removed, or if the value is a relative name.
2337 test -d ./--version && rmdir ./--version
23382902 MKDIR_P="$ac_install_sh -d"
23392903 fi
23402904 fi
2341 { $as_echo "$as_me:$LINENO: result: $MKDIR_P" >&5
2905 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $MKDIR_P" >&5
23422906 $as_echo "$MKDIR_P" >&6; }
2343
2344 mkdir_p="$MKDIR_P"
2345 case $mkdir_p in
2346 [\\/$]* | ?:[\\/]*) ;;
2347 */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
2348 esac
23492907
23502908 for ac_prog in gawk mawk nawk awk
23512909 do
23522910 # Extract the first word of "$ac_prog", so it can be a program name with args.
23532911 set dummy $ac_prog; ac_word=$2
2354 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
2912 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
23552913 $as_echo_n "checking for $ac_word... " >&6; }
2356 if test "${ac_cv_prog_AWK+set}" = set; then
2914 if ${ac_cv_prog_AWK+:} false; then :
23572915 $as_echo_n "(cached) " >&6
23582916 else
23592917 if test -n "$AWK"; then
23642922 do
23652923 IFS=$as_save_IFS
23662924 test -z "$as_dir" && as_dir=.
2367 for ac_exec_ext in '' $ac_executable_extensions; do
2368 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
2925 for ac_exec_ext in '' $ac_executable_extensions; do
2926 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
23692927 ac_cv_prog_AWK="$ac_prog"
2370 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
2928 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
23712929 break 2
23722930 fi
23732931 done
2374 done
2932 done
23752933 IFS=$as_save_IFS
23762934
23772935 fi
23782936 fi
23792937 AWK=$ac_cv_prog_AWK
23802938 if test -n "$AWK"; then
2381 { $as_echo "$as_me:$LINENO: result: $AWK" >&5
2939 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AWK" >&5
23822940 $as_echo "$AWK" >&6; }
23832941 else
2384 { $as_echo "$as_me:$LINENO: result: no" >&5
2942 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
23852943 $as_echo "no" >&6; }
23862944 fi
23872945
23892947 test -n "$AWK" && break
23902948 done
23912949
2392 { $as_echo "$as_me:$LINENO: checking whether ${MAKE-make} sets \$(MAKE)" >&5
2950 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ${MAKE-make} sets \$(MAKE)" >&5
23932951 $as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
23942952 set x ${MAKE-make}
23952953 ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
2396 if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then
2954 if eval \${ac_cv_prog_make_${ac_make}_set+:} false; then :
23972955 $as_echo_n "(cached) " >&6
23982956 else
23992957 cat >conftest.make <<\_ACEOF
24012959 all:
24022960 @echo '@@@%%%=$(MAKE)=@@@%%%'
24032961 _ACEOF
2404 # GNU make sometimes prints "make[1]: Entering...", which would confuse us.
2962 # GNU make sometimes prints "make[1]: Entering ...", which would confuse us.
24052963 case `${MAKE-make} -f conftest.make 2>/dev/null` in
24062964 *@@@%%%=?*=@@@%%%*)
24072965 eval ac_cv_prog_make_${ac_make}_set=yes;;
24112969 rm -f conftest.make
24122970 fi
24132971 if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
2414 { $as_echo "$as_me:$LINENO: result: yes" >&5
2972 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
24152973 $as_echo "yes" >&6; }
24162974 SET_MAKE=
24172975 else
2418 { $as_echo "$as_me:$LINENO: result: no" >&5
2976 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
24192977 $as_echo "no" >&6; }
24202978 SET_MAKE="MAKE=${MAKE-make}"
24212979 fi
24282986 am__leading_dot=_
24292987 fi
24302988 rmdir .tst 2>/dev/null
2989
2990 # Check whether --enable-silent-rules was given.
2991 if test "${enable_silent_rules+set}" = set; then :
2992 enableval=$enable_silent_rules;
2993 fi
2994
2995 case $enable_silent_rules in # (((
2996 yes) AM_DEFAULT_VERBOSITY=0;;
2997 no) AM_DEFAULT_VERBOSITY=1;;
2998 *) AM_DEFAULT_VERBOSITY=1;;
2999 esac
3000 am_make=${MAKE-make}
3001 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $am_make supports nested variables" >&5
3002 $as_echo_n "checking whether $am_make supports nested variables... " >&6; }
3003 if ${am_cv_make_support_nested_variables+:} false; then :
3004 $as_echo_n "(cached) " >&6
3005 else
3006 if $as_echo 'TRUE=$(BAR$(V))
3007 BAR0=false
3008 BAR1=true
3009 V=1
3010 am__doit:
3011 @$(TRUE)
3012 .PHONY: am__doit' | $am_make -f - >/dev/null 2>&1; then
3013 am_cv_make_support_nested_variables=yes
3014 else
3015 am_cv_make_support_nested_variables=no
3016 fi
3017 fi
3018 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_make_support_nested_variables" >&5
3019 $as_echo "$am_cv_make_support_nested_variables" >&6; }
3020 if test $am_cv_make_support_nested_variables = yes; then
3021 AM_V='$(V)'
3022 AM_DEFAULT_V='$(AM_DEFAULT_VERBOSITY)'
3023 else
3024 AM_V=$AM_DEFAULT_VERBOSITY
3025 AM_DEFAULT_V=$AM_DEFAULT_VERBOSITY
3026 fi
3027 AM_BACKSLASH='\'
24313028
24323029 if test "`cd $srcdir && pwd`" != "`pwd`"; then
24333030 # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
24353032 am__isrc=' -I$(srcdir)'
24363033 # test to see if srcdir already configured
24373034 if test -f $srcdir/config.status; then
2438 { { $as_echo "$as_me:$LINENO: error: source directory already configured; run \"make distclean\" there first" >&5
2439 $as_echo "$as_me: error: source directory already configured; run \"make distclean\" there first" >&2;}
2440 { (exit 1); exit 1; }; }
3035 as_fn_error $? "source directory already configured; run \"make distclean\" there first" "$LINENO" 5
24413036 fi
24423037 fi
24433038
24533048
24543049 # Define the identity of the package.
24553050 PACKAGE='gtkwave'
2456 VERSION='3.3.45'
3051 VERSION='3.3.46'
24573052
24583053
24593054 cat >>confdefs.h <<_ACEOF
24813076
24823077 MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"}
24833078
3079 # For better backward compatibility. To be removed once Automake 1.9.x
3080 # dies out for good. For more background, see:
3081 # <http://lists.gnu.org/archive/html/automake/2012-07/msg00001.html>
3082 # <http://lists.gnu.org/archive/html/automake/2012-07/msg00014.html>
3083 mkdir_p='$(MKDIR_P)'
3084
24843085 # We need awk for the "check" target. The system "awk" is bad on
24853086 # some platforms.
2486 # Always define AMTAR for backward compatibility.
2487
2488 AMTAR=${AMTAR-"${am_missing_run}tar"}
2489
2490 am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'
3087 # Always define AMTAR for backward compatibility. Yes, it's still used
3088 # in the wild :-( We should find a proper way to deprecate it ...
3089 AMTAR='$${TAR-tar}'
3090
3091 am__tar='$${TAR-tar} chof - "$$tardir"' am__untar='$${TAR-tar} xf -'
24913092
24923093
24933094
24963097 ac_config_headers="$ac_config_headers config.h"
24973098
24983099
2499 { $as_echo "$as_me:$LINENO: checking whether to enable maintainer-specific portions of Makefiles" >&5
3100 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to enable maintainer-specific portions of Makefiles" >&5
25003101 $as_echo_n "checking whether to enable maintainer-specific portions of Makefiles... " >&6; }
25013102 # Check whether --enable-maintainer-mode was given.
2502 if test "${enable_maintainer_mode+set}" = set; then
3103 if test "${enable_maintainer_mode+set}" = set; then :
25033104 enableval=$enable_maintainer_mode; USE_MAINTAINER_MODE=$enableval
25043105 else
25053106 USE_MAINTAINER_MODE=no
25063107 fi
25073108
2508 { $as_echo "$as_me:$LINENO: result: $USE_MAINTAINER_MODE" >&5
3109 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $USE_MAINTAINER_MODE" >&5
25093110 $as_echo "$USE_MAINTAINER_MODE" >&6; }
25103111 if test $USE_MAINTAINER_MODE = yes; then
25113112 MAINTAINER_MODE_TRUE=
25233124
25243125
25253126 # Check whether --with-gconf was given.
2526 if test "${with_gconf+set}" = set; then
3127 if test "${with_gconf+set}" = set; then :
25273128 withval=$with_gconf;
25283129 else
25293130 with_gconf=check
25333134 # ------------- XDG -------------------
25343135
25353136 # Check whether --with-xdgdatadir was given.
2536 if test "${with_xdgdatadir+set}" = set; then
3137 if test "${with_xdgdatadir+set}" = set; then :
25373138 withval=$with_xdgdatadir; opt_xdgdatadir=$withval
25383139 fi
25393140
25493150
25503151
25513152
2552 { $as_echo "$as_me:$LINENO: checking if MIME and desktop updates should be disabled" >&5
3153 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if MIME and desktop updates should be disabled" >&5
25533154 $as_echo_n "checking if MIME and desktop updates should be disabled... " >&6; }
25543155
25553156 EMIM=yes
25563157 DMIM=no
25573158 # Check whether --enable-mime_update was given.
2558 if test "${enable_mime_update+set}" = set; then
3159 if test "${enable_mime_update+set}" = set; then :
25593160 enableval=$enable_mime_update;
25603161 if test "X$enable_mime_update" = "Xno" ; then
25613162 EMIM=no
25713172
25723173 fi
25733174
2574 { $as_echo "$as_me:$LINENO: result: $DMIM" >&5
3175 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DMIM" >&5
25753176 $as_echo "$DMIM" >&6; }
25763177
25773178
25783179 # Extract the first word of "update-mime-database", so it can be a program name with args.
25793180 set dummy update-mime-database; ac_word=$2
2580 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
3181 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
25813182 $as_echo_n "checking for $ac_word... " >&6; }
2582 if test "${ac_cv_path_UPDATE_MIME_DATABASE+set}" = set; then
3183 if ${ac_cv_path_UPDATE_MIME_DATABASE+:} false; then :
25833184 $as_echo_n "(cached) " >&6
25843185 else
25853186 case $UPDATE_MIME_DATABASE in
25923193 do
25933194 IFS=$as_save_IFS
25943195 test -z "$as_dir" && as_dir=.
2595 for ac_exec_ext in '' $ac_executable_extensions; do
2596 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
3196 for ac_exec_ext in '' $ac_executable_extensions; do
3197 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
25973198 ac_cv_path_UPDATE_MIME_DATABASE="$as_dir/$ac_word$ac_exec_ext"
2598 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
3199 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
25993200 break 2
26003201 fi
26013202 done
2602 done
3203 done
26033204 IFS=$as_save_IFS
26043205
26053206 test -z "$ac_cv_path_UPDATE_MIME_DATABASE" && ac_cv_path_UPDATE_MIME_DATABASE="no"
26083209 fi
26093210 UPDATE_MIME_DATABASE=$ac_cv_path_UPDATE_MIME_DATABASE
26103211 if test -n "$UPDATE_MIME_DATABASE"; then
2611 { $as_echo "$as_me:$LINENO: result: $UPDATE_MIME_DATABASE" >&5
3212 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $UPDATE_MIME_DATABASE" >&5
26123213 $as_echo "$UPDATE_MIME_DATABASE" >&6; }
26133214 else
2614 { $as_echo "$as_me:$LINENO: result: no" >&5
3215 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
26153216 $as_echo "no" >&6; }
26163217 fi
26173218
26183219
26193220 # Extract the first word of "update-desktop-database", so it can be a program name with args.
26203221 set dummy update-desktop-database; ac_word=$2
2621 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
3222 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
26223223 $as_echo_n "checking for $ac_word... " >&6; }
2623 if test "${ac_cv_path_UPDATE_DESKTOP_DATABASE+set}" = set; then
3224 if ${ac_cv_path_UPDATE_DESKTOP_DATABASE+:} false; then :
26243225 $as_echo_n "(cached) " >&6
26253226 else
26263227 case $UPDATE_DESKTOP_DATABASE in
26333234 do
26343235 IFS=$as_save_IFS
26353236 test -z "$as_dir" && as_dir=.
2636 for ac_exec_ext in '' $ac_executable_extensions; do
2637 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
3237 for ac_exec_ext in '' $ac_executable_extensions; do
3238 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
26383239 ac_cv_path_UPDATE_DESKTOP_DATABASE="$as_dir/$ac_word$ac_exec_ext"
2639 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
3240 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
26403241 break 2
26413242 fi
26423243 done
2643 done
3244 done
26443245 IFS=$as_save_IFS
26453246
26463247 test -z "$ac_cv_path_UPDATE_DESKTOP_DATABASE" && ac_cv_path_UPDATE_DESKTOP_DATABASE="no"
26493250 fi
26503251 UPDATE_DESKTOP_DATABASE=$ac_cv_path_UPDATE_DESKTOP_DATABASE
26513252 if test -n "$UPDATE_DESKTOP_DATABASE"; then
2652 { $as_echo "$as_me:$LINENO: result: $UPDATE_DESKTOP_DATABASE" >&5
3253 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $UPDATE_DESKTOP_DATABASE" >&5
26533254 $as_echo "$UPDATE_DESKTOP_DATABASE" >&6; }
26543255 else
2655 { $as_echo "$as_me:$LINENO: result: no" >&5
3256 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
26563257 $as_echo "no" >&6; }
26573258 fi
26583259
26803281 # Checks for build options
26813282 # ------------- Inline -------------------
26823283
2683 { $as_echo "$as_me:$LINENO: checking if inline assembly should be enabled" >&5
3284 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if inline assembly should be enabled" >&5
26843285 $as_echo_n "checking if inline assembly should be enabled... " >&6; }
26853286
26863287 INL=yes
26873288 INLINE_ASM=-DUSE_INLINE_ASM
26883289 # Check whether --enable-inline_asm was given.
2689 if test "${enable_inline_asm+set}" = set; then
3290 if test "${enable_inline_asm+set}" = set; then :
26903291 enableval=$enable_inline_asm;
26913292 if test "X$enable_inline_asm" = "Xno" ; then
26923293 INL=no
26953296
26963297 fi
26973298
2698 { $as_echo "$as_me:$LINENO: result: $INL" >&5
3299 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $INL" >&5
26993300 $as_echo "$INL" >&6; }
27003301
27013302
27023303
27033304 # ------------- Structure Packing -------------------
27043305
2705 { $as_echo "$as_me:$LINENO: checking if structure packing should be enabled" >&5
3306 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if structure packing should be enabled" >&5
27063307 $as_echo_n "checking if structure packing should be enabled... " >&6; }
27073308
27083309 ESTP=no
27093310 STRUCT_PACK=
27103311 # Check whether --enable-struct_pack was given.
2711 if test "${enable_struct_pack+set}" = set; then
3312 if test "${enable_struct_pack+set}" = set; then :
27123313 enableval=$enable_struct_pack;
27133314 if test "X$enable_struct_pack" = "Xyes" ; then
27143315 ESTP=yes
27173318
27183319 fi
27193320
2720 { $as_echo "$as_me:$LINENO: result: $ESTP" >&5
3321 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ESTP" >&5
27213322 $as_echo "$ESTP" >&6; }
27223323
27233324
27243325 # ------------- Tcl -------------------
27253326
2726 { $as_echo "$as_me:$LINENO: checking if Tcl usage should be disabled" >&5
3327 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if Tcl usage should be disabled" >&5
27273328 $as_echo_n "checking if Tcl usage should be disabled... " >&6; }
27283329
27293330 ETCL=yes
27303331 DTCL=no
27313332 # Check whether --enable-tcl was given.
2732 if test "${enable_tcl+set}" = set; then
3333 if test "${enable_tcl+set}" = set; then :
27333334 enableval=$enable_tcl;
27343335 if test "X$enable_tcl" = "Xno" ; then
27353336 ETCL=no
27453346
27463347 fi
27473348
2748 { $as_echo "$as_me:$LINENO: result: $DTCL" >&5
3349 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $DTCL" >&5
27493350 $as_echo "$DTCL" >&6; }
27503351
27513352
27623363 no_tcl=true
27633364
27643365 # Check whether --with-tcl was given.
2765 if test "${with_tcl+set}" = set; then
3366 if test "${with_tcl+set}" = set; then :
27663367 withval=$with_tcl; with_tclconfig="${withval}"
27673368 fi
27683369
2769 { $as_echo "$as_me:$LINENO: checking for Tcl configuration" >&5
3370 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Tcl configuration" >&5
27703371 $as_echo_n "checking for Tcl configuration... " >&6; }
2771 if test "${ac_cv_c_tclconfig+set}" = set; then
3372 if ${ac_cv_c_tclconfig+:} false; then :
27723373 $as_echo_n "(cached) " >&6
27733374 else
27743375
27783379 case "${with_tclconfig}" in
27793380 */tclConfig.sh )
27803381 if test -f "${with_tclconfig}"; then
2781 { $as_echo "$as_me:$LINENO: WARNING: --with-tcl argument should refer to directory containing tclConfig.sh, not to tclConfig.sh itself" >&5
3382 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: --with-tcl argument should refer to directory containing tclConfig.sh, not to tclConfig.sh itself" >&5
27823383 $as_echo "$as_me: WARNING: --with-tcl argument should refer to directory containing tclConfig.sh, not to tclConfig.sh itself" >&2;}
27833384 with_tclconfig="`echo "${with_tclconfig}" | sed 's!/tclConfig\.sh$!!'`"
27843385 fi ;;
27863387 if test -f "${with_tclconfig}/tclConfig.sh" ; then
27873388 ac_cv_c_tclconfig="`(cd "${with_tclconfig}"; pwd)`"
27883389 else
2789 { { $as_echo "$as_me:$LINENO: error: ${with_tclconfig} directory doesn't contain tclConfig.sh" >&5
2790 $as_echo "$as_me: error: ${with_tclconfig} directory doesn't contain tclConfig.sh" >&2;}
2791 { (exit 1); exit 1; }; }
3390 as_fn_error $? "${with_tclconfig} directory doesn't contain tclConfig.sh" "$LINENO" 5
27923391 fi
27933392 fi
27943393
28643463
28653464 if test x"${ac_cv_c_tclconfig}" = x ; then
28663465 TCL_BIN_DIR="# no Tcl configs found"
2867 { { $as_echo "$as_me:$LINENO: error: Can't find Tcl configuration definitions. Use --with-tcl to specify a directory containing tclConfig.sh" >&5
2868 $as_echo "$as_me: error: Can't find Tcl configuration definitions. Use --with-tcl to specify a directory containing tclConfig.sh" >&2;}
2869 { (exit 1); exit 1; }; }
3466 as_fn_error $? "Can't find Tcl configuration definitions. Use --with-tcl to specify a directory containing tclConfig.sh" "$LINENO" 5
28703467 else
28713468 no_tcl=
28723469 TCL_BIN_DIR="${ac_cv_c_tclconfig}"
2873 { $as_echo "$as_me:$LINENO: result: found ${TCL_BIN_DIR}/tclConfig.sh" >&5
3470 { $as_echo "$as_me:${as_lineno-$LINENO}: result: found ${TCL_BIN_DIR}/tclConfig.sh" >&5
28743471 $as_echo "found ${TCL_BIN_DIR}/tclConfig.sh" >&6; }
28753472 fi
28763473 fi
28773474
28783475 if test "X$TCL_BIN_DIR" = "X# no Tcl configs found" ; then
2879 { $as_echo "$as_me:$LINENO: WARNING: Tcl not found, skipping." >&5
3476 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Tcl not found, skipping." >&5
28803477 $as_echo "$as_me: WARNING: Tcl not found, skipping." >&2;}
28813478 ETCL=no
28823479 DTCL=yes
28833480 else
28843481
2885 { $as_echo "$as_me:$LINENO: checking for existence of ${TCL_BIN_DIR}/tclConfig.sh" >&5
3482 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for existence of ${TCL_BIN_DIR}/tclConfig.sh" >&5
28863483 $as_echo_n "checking for existence of ${TCL_BIN_DIR}/tclConfig.sh... " >&6; }
28873484
28883485 if test -f "${TCL_BIN_DIR}/tclConfig.sh" ; then
2889 { $as_echo "$as_me:$LINENO: result: loading" >&5
3486 { $as_echo "$as_me:${as_lineno-$LINENO}: result: loading" >&5
28903487 $as_echo "loading" >&6; }
28913488 . "${TCL_BIN_DIR}/tclConfig.sh"
28923489 else
2893 { $as_echo "$as_me:$LINENO: result: could not find ${TCL_BIN_DIR}/tclConfig.sh" >&5
3490 { $as_echo "$as_me:${as_lineno-$LINENO}: result: could not find ${TCL_BIN_DIR}/tclConfig.sh" >&5
28943491 $as_echo "could not find ${TCL_BIN_DIR}/tclConfig.sh" >&6; }
28953492 fi
28963493
29573554
29583555
29593556 if test "$TCL_MAJOR_VERSION" -lt "8" ; then
2960 { { $as_echo "$as_me:$LINENO: error: Upgrade to at least Tcl version 8.4." >&5
2961 $as_echo "$as_me: error: Upgrade to at least Tcl version 8.4." >&2;}
2962 { (exit 1); exit 1; }; }
3557 as_fn_error $? "Upgrade to at least Tcl version 8.4." "$LINENO" 5
29633558 else
29643559 if test "$TCL_MAJOR_VERSION" -eq "8" ; then
29653560 if test "$TCL_MINOR_VERSION" -lt "4" ; then
2966 { { $as_echo "$as_me:$LINENO: error: Upgrade to at least Tcl version 8.4." >&5
2967 $as_echo "$as_me: error: Upgrade to at least Tcl version 8.4." >&2;}
2968 { (exit 1); exit 1; }; }
3561 as_fn_error $? "Upgrade to at least Tcl version 8.4." "$LINENO" 5
29693562 fi
29703563 fi
29713564 fi
29853578 no_tk=true
29863579
29873580 # Check whether --with-tk was given.
2988 if test "${with_tk+set}" = set; then
3581 if test "${with_tk+set}" = set; then :
29893582 withval=$with_tk; with_tkconfig="${withval}"
29903583 fi
29913584
2992 { $as_echo "$as_me:$LINENO: checking for Tk configuration" >&5
3585 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Tk configuration" >&5
29933586 $as_echo_n "checking for Tk configuration... " >&6; }
2994 if test "${ac_cv_c_tkconfig+set}" = set; then
3587 if ${ac_cv_c_tkconfig+:} false; then :
29953588 $as_echo_n "(cached) " >&6
29963589 else
29973590
30013594 case "${with_tkconfig}" in
30023595 */tkConfig.sh )
30033596 if test -f "${with_tkconfig}"; then
3004 { $as_echo "$as_me:$LINENO: WARNING: --with-tk argument should refer to directory containing tkConfig.sh, not to tkConfig.sh itself" >&5
3597 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: --with-tk argument should refer to directory containing tkConfig.sh, not to tkConfig.sh itself" >&5
30053598 $as_echo "$as_me: WARNING: --with-tk argument should refer to directory containing tkConfig.sh, not to tkConfig.sh itself" >&2;}
30063599 with_tkconfig="`echo "${with_tkconfig}" | sed 's!/tkConfig\.sh$!!'`"
30073600 fi ;;
30093602 if test -f "${with_tkconfig}/tkConfig.sh" ; then
30103603 ac_cv_c_tkconfig="`(cd "${with_tkconfig}"; pwd)`"
30113604 else
3012 { { $as_echo "$as_me:$LINENO: error: ${with_tkconfig} directory doesn't contain tkConfig.sh" >&5
3013 $as_echo "$as_me: error: ${with_tkconfig} directory doesn't contain tkConfig.sh" >&2;}
3014 { (exit 1); exit 1; }; }
3605 as_fn_error $? "${with_tkconfig} directory doesn't contain tkConfig.sh" "$LINENO" 5
30153606 fi
30163607 fi
30173608
30873678
30883679 if test x"${ac_cv_c_tkconfig}" = x ; then
30893680 TK_BIN_DIR="# no Tk configs found"
3090 { { $as_echo "$as_me:$LINENO: error: Can't find Tk configuration definitions. Use --with-tk to specify a directory containing tkConfig.sh" >&5
3091 $as_echo "$as_me: error: Can't find Tk configuration definitions. Use --with-tk to specify a directory containing tkConfig.sh" >&2;}
3092 { (exit 1); exit 1; }; }
3681 as_fn_error $? "Can't find Tk configuration definitions. Use --with-tk to specify a directory containing tkConfig.sh" "$LINENO" 5
30933682 else
30943683 no_tk=
30953684 TK_BIN_DIR="${ac_cv_c_tkconfig}"
3096 { $as_echo "$as_me:$LINENO: result: found ${TK_BIN_DIR}/tkConfig.sh" >&5
3685 { $as_echo "$as_me:${as_lineno-$LINENO}: result: found ${TK_BIN_DIR}/tkConfig.sh" >&5
30973686 $as_echo "found ${TK_BIN_DIR}/tkConfig.sh" >&6; }
30983687 fi
30993688 fi
31003689
31013690 if test "X$TK_BIN_DIR" = "X# no Tk configs found" ; then
3102 { $as_echo "$as_me:$LINENO: WARNING: Tk not found, skipping." >&5
3691 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Tk not found, skipping." >&5
31033692 $as_echo "$as_me: WARNING: Tk not found, skipping." >&2;}
31043693 ETCL=no
31053694 DTCL=yes
31063695 else
31073696
3108 { $as_echo "$as_me:$LINENO: checking for existence of ${TK_BIN_DIR}/tkConfig.sh" >&5
3697 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for existence of ${TK_BIN_DIR}/tkConfig.sh" >&5
31093698 $as_echo_n "checking for existence of ${TK_BIN_DIR}/tkConfig.sh... " >&6; }
31103699
31113700 if test -f "${TK_BIN_DIR}/tkConfig.sh" ; then
3112 { $as_echo "$as_me:$LINENO: result: loading" >&5
3701 { $as_echo "$as_me:${as_lineno-$LINENO}: result: loading" >&5
31133702 $as_echo "loading" >&6; }
31143703 . "${TK_BIN_DIR}/tkConfig.sh"
31153704 else
3116 { $as_echo "$as_me:$LINENO: result: could not find ${TK_BIN_DIR}/tkConfig.sh" >&5
3705 { $as_echo "$as_me:${as_lineno-$LINENO}: result: could not find ${TK_BIN_DIR}/tkConfig.sh" >&5
31173706 $as_echo "could not find ${TK_BIN_DIR}/tkConfig.sh" >&6; }
31183707 fi
31193708
31773766 fi
31783767 fi
31793768
3180 { $as_echo "$as_me:$LINENO: checking if Tcl/Tk (if present) should be stubified" >&5
3769 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if Tcl/Tk (if present) should be stubified" >&5
31813770 $as_echo_n "checking if Tcl/Tk (if present) should be stubified... " >&6; }
31823771
31833772 STUBIFY=no
31843773 # Check whether --enable-stubify was given.
3185 if test "${enable_stubify+set}" = set; then
3774 if test "${enable_stubify+set}" = set; then :
31863775 enableval=$enable_stubify;
31873776 if test "X$enable_stubify" = "Xno" ; then
31883777 STUBIFY=no
31973786 fi
31983787
31993788
3200 { $as_echo "$as_me:$LINENO: result: $STUBIFY" >&5
3789 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $STUBIFY" >&5
32013790 $as_echo "$STUBIFY" >&6; }
32023791
32033792
3204 { $as_echo "$as_me:$LINENO: checking if gtk1 or gtk2 should be used" >&5
3793 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if gtk1 or gtk2 should be used" >&5
32053794 $as_echo_n "checking if gtk1 or gtk2 should be used... " >&6; }
32063795
32073796 GTK1=no
32083797 # Check whether --enable-gtk1 was given.
3209 if test "${enable_gtk1+set}" = set; then
3798 if test "${enable_gtk1+set}" = set; then :
32103799 enableval=$enable_gtk1;
32113800 if test "X$enable_gtk1" = "Xno" ; then
32123801 GTK1=no
32223811
32233812
32243813 if test "X$GTK1" = "Xyes" ; then
3225 { $as_echo "$as_me:$LINENO: result: gtk1" >&5
3814 { $as_echo "$as_me:${as_lineno-$LINENO}: result: gtk1" >&5
32263815 $as_echo "gtk1" >&6; }
32273816 CPPFLAGS="$CPPFLAGS"
32283817 else
3229 { $as_echo "$as_me:$LINENO: result: gtk2" >&5
3818 { $as_echo "$as_me:${as_lineno-$LINENO}: result: gtk2" >&5
32303819 $as_echo "gtk2" >&6; }
32313820 CPPFLAGS="-DWAVE_USE_GTK2 $CPPFLAGS"
32323821 fi
32333822
32343823
32353824 # check for "fisher price" (simvision rendering style) mode
3236 { $as_echo "$as_me:$LINENO: checking if fatlines should be used" >&5
3825 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if fatlines should be used" >&5
32373826 $as_echo_n "checking if fatlines should be used... " >&6; }
32383827
32393828 FLN=no
32403829 # Check whether --enable-fatlines was given.
3241 if test "${enable_fatlines+set}" = set; then
3830 if test "${enable_fatlines+set}" = set; then :
32423831 enableval=$enable_fatlines;
32433832 if test "X$enable_fatlines" = "Xno" ; then
32443833 FLN=no
32543843
32553844
32563845 if test "X$FLN" = "Xno" ; then
3257 { $as_echo "$as_me:$LINENO: result: no" >&5
3846 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
32583847 $as_echo "no" >&6; }
32593848 else
3260 { $as_echo "$as_me:$LINENO: result: yes" >&5
3849 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
32613850 $as_echo "yes" >&6; }
32623851 CPPFLAGS="-DWAVE_DOUBLE_LINE_WIDTH_MODE $CPPFLAGS"
32633852 fi
32643853
32653854
3266 { $as_echo "$as_me:$LINENO: checking if ae2 support should be used" >&5
3855 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if ae2 support should be used" >&5
32673856 $as_echo_n "checking if ae2 support should be used... " >&6; }
32683857
32693858 AE2_CFLAGS=
32703859 AET2_LDADD=
32713860 AE2=no
32723861 # Check whether --enable-ae2 was given.
3273 if test "${enable_ae2+set}" = set; then
3862 if test "${enable_ae2+set}" = set; then :
32743863 enableval=$enable_ae2;
32753864 if test "X$enable_ae2" = "Xno" ; then
32763865 AE2=no
32873876
32883877 if test "X$AE2" = "Xyes" ; then
32893878 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/libae2rw.so"" | $as_tr_sh`
3290 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/libae2rw.so\"" >&5
3879 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/libae2rw.so\"" >&5
32913880 $as_echo_n "checking for \"$SIMARAMA_BASE/libae2rw.so\"... " >&6; }
3292 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
3881 if eval \${$as_ac_File+:} false; then :
32933882 $as_echo_n "(cached) " >&6
32943883 else
32953884 test "$cross_compiling" = yes &&
3296 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3297 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3298 { (exit 1); exit 1; }; }
3885 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
32993886 if test -r ""$SIMARAMA_BASE/libae2rw.so""; then
33003887 eval "$as_ac_File=yes"
33013888 else
33023889 eval "$as_ac_File=no"
33033890 fi
33043891 fi
3305 ac_res=`eval 'as_val=${'$as_ac_File'}
3306 $as_echo "$as_val"'`
3307 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
3892 eval ac_res=\$$as_ac_File
3893 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
33083894 $as_echo "$ac_res" >&6; }
3309 as_val=`eval 'as_val=${'$as_ac_File'}
3310 $as_echo "$as_val"'`
3311 if test "x$as_val" = x""yes; then
3895 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
33123896 AET2_SO=yes
33133897 else
33143898 AET2_SO=no
33213905 else
33223906
33233907 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/libae2rw.a"" | $as_tr_sh`
3324 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/libae2rw.a\"" >&5
3908 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/libae2rw.a\"" >&5
33253909 $as_echo_n "checking for \"$SIMARAMA_BASE/libae2rw.a\"... " >&6; }
3326 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
3910 if eval \${$as_ac_File+:} false; then :
33273911 $as_echo_n "(cached) " >&6
33283912 else
33293913 test "$cross_compiling" = yes &&
3330 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3331 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3332 { (exit 1); exit 1; }; }
3914 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
33333915 if test -r ""$SIMARAMA_BASE/libae2rw.a""; then
33343916 eval "$as_ac_File=yes"
33353917 else
33363918 eval "$as_ac_File=no"
33373919 fi
33383920 fi
3339 ac_res=`eval 'as_val=${'$as_ac_File'}
3340 $as_echo "$as_val"'`
3341 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
3921 eval ac_res=\$$as_ac_File
3922 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
33423923 $as_echo "$ac_res" >&6; }
3343 as_val=`eval 'as_val=${'$as_ac_File'}
3344 $as_echo "$as_val"'`
3345 if test "x$as_val" = x""yes; then
3924 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
33463925 AET2_A=yes
33473926 else
33483927 AET2_A=no
33533932 AET2_LDADD="$SIMARAMA_BASE/libae2rw.a"
33543933 else
33553934
3356 { $as_echo "$as_me:$LINENO: WARNING: AET2 library not found, skipping." >&5
3935 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: AET2 library not found, skipping." >&5
33573936 $as_echo "$as_me: WARNING: AET2 library not found, skipping." >&2;}
33583937
33593938 fi
33603939 fi
33613940
33623941 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/libaliasdb.so"" | $as_tr_sh`
3363 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/libaliasdb.so\"" >&5
3942 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/libaliasdb.so\"" >&5
33643943 $as_echo_n "checking for \"$SIMARAMA_BASE/libaliasdb.so\"... " >&6; }
3365 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
3944 if eval \${$as_ac_File+:} false; then :
33663945 $as_echo_n "(cached) " >&6
33673946 else
33683947 test "$cross_compiling" = yes &&
3369 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3370 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3371 { (exit 1); exit 1; }; }
3948 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
33723949 if test -r ""$SIMARAMA_BASE/libaliasdb.so""; then
33733950 eval "$as_ac_File=yes"
33743951 else
33753952 eval "$as_ac_File=no"
33763953 fi
33773954 fi
3378 ac_res=`eval 'as_val=${'$as_ac_File'}
3379 $as_echo "$as_val"'`
3380 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
3955 eval ac_res=\$$as_ac_File
3956 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
33813957 $as_echo "$ac_res" >&6; }
3382 as_val=`eval 'as_val=${'$as_ac_File'}
3383 $as_echo "$as_val"'`
3384 if test "x$as_val" = x""yes; then
3958 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
33853959 AAET2_SO=yes
33863960 else
33873961 AAET2_SO=no
33903964
33913965 if test "X$AAET2_SO" = "Xyes" ; then
33923966 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/aliasdb.h"" | $as_tr_sh`
3393 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/aliasdb.h\"" >&5
3967 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/aliasdb.h\"" >&5
33943968 $as_echo_n "checking for \"$SIMARAMA_BASE/aliasdb.h\"... " >&6; }
3395 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
3969 if eval \${$as_ac_File+:} false; then :
33963970 $as_echo_n "(cached) " >&6
33973971 else
33983972 test "$cross_compiling" = yes &&
3399 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3400 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3401 { (exit 1); exit 1; }; }
3973 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
34023974 if test -r ""$SIMARAMA_BASE/aliasdb.h""; then
34033975 eval "$as_ac_File=yes"
34043976 else
34053977 eval "$as_ac_File=no"
34063978 fi
34073979 fi
3408 ac_res=`eval 'as_val=${'$as_ac_File'}
3409 $as_echo "$as_val"'`
3410 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
3980 eval ac_res=\$$as_ac_File
3981 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
34113982 $as_echo "$ac_res" >&6; }
3412 as_val=`eval 'as_val=${'$as_ac_File'}
3413 $as_echo "$as_val"'`
3414 if test "x$as_val" = x""yes; then
3983 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
34153984 AAET2_SOY=yes
34163985 else
34173986 AAET2_SOY=no
34213990 AET2_CFLAGS="-DAET2_ALIASDB_IS_PRESENT $AET2_CFLAGS"
34223991 AET2_LDADD="$SIMARAMA_BASE/libaliasdb.so $AET2_LDADD"
34233992 else
3424 { $as_echo "$as_me:$LINENO: WARNING: AET2 alias header not found, skipping." >&5
3993 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: AET2 alias header not found, skipping." >&5
34253994 $as_echo "$as_me: WARNING: AET2 alias header not found, skipping." >&2;}
34263995 fi
34273996 else
34283997
34293998 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/libaliasdb.a"" | $as_tr_sh`
3430 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/libaliasdb.a\"" >&5
3999 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/libaliasdb.a\"" >&5
34314000 $as_echo_n "checking for \"$SIMARAMA_BASE/libaliasdb.a\"... " >&6; }
3432 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
4001 if eval \${$as_ac_File+:} false; then :
34334002 $as_echo_n "(cached) " >&6
34344003 else
34354004 test "$cross_compiling" = yes &&
3436 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3437 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3438 { (exit 1); exit 1; }; }
4005 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
34394006 if test -r ""$SIMARAMA_BASE/libaliasdb.a""; then
34404007 eval "$as_ac_File=yes"
34414008 else
34424009 eval "$as_ac_File=no"
34434010 fi
34444011 fi
3445 ac_res=`eval 'as_val=${'$as_ac_File'}
3446 $as_echo "$as_val"'`
3447 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
4012 eval ac_res=\$$as_ac_File
4013 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
34484014 $as_echo "$ac_res" >&6; }
3449 as_val=`eval 'as_val=${'$as_ac_File'}
3450 $as_echo "$as_val"'`
3451 if test "x$as_val" = x""yes; then
4015 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
34524016 AET2_A=yes
34534017 else
34544018 AET2_A=no
34564020
34574021 if test "X$AAET2_A" = "Xyes" ; then
34584022 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/aliasdb.h"" | $as_tr_sh`
3459 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/aliasdb.h\"" >&5
4023 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/aliasdb.h\"" >&5
34604024 $as_echo_n "checking for \"$SIMARAMA_BASE/aliasdb.h\"... " >&6; }
3461 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
4025 if eval \${$as_ac_File+:} false; then :
34624026 $as_echo_n "(cached) " >&6
34634027 else
34644028 test "$cross_compiling" = yes &&
3465 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3466 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3467 { (exit 1); exit 1; }; }
4029 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
34684030 if test -r ""$SIMARAMA_BASE/aliasdb.h""; then
34694031 eval "$as_ac_File=yes"
34704032 else
34714033 eval "$as_ac_File=no"
34724034 fi
34734035 fi
3474 ac_res=`eval 'as_val=${'$as_ac_File'}
3475 $as_echo "$as_val"'`
3476 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
4036 eval ac_res=\$$as_ac_File
4037 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
34774038 $as_echo "$ac_res" >&6; }
3478 as_val=`eval 'as_val=${'$as_ac_File'}
3479 $as_echo "$as_val"'`
3480 if test "x$as_val" = x""yes; then
4039 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
34814040 AAET2_SOY=yes
34824041 else
34834042 AAET2_SOY=no
34874046 AET2_CFLAGS="-DAET2_ALIASDB_IS_PRESENT $AET2_CFLAGS"
34884047 AET2_LDADD="$SIMARAMA_BASE/libaliasdb.a $AET2_LDADD"
34894048 else
3490 { $as_echo "$as_me:$LINENO: WARNING: AET2 alias header not found, skipping." >&5
4049 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: AET2 alias header not found, skipping." >&5
34914050 $as_echo "$as_me: WARNING: AET2 alias header not found, skipping." >&2;}
34924051 fi
34934052 else
34944053
3495 { $as_echo "$as_me:$LINENO: WARNING: AET2 alias library not found, skipping." >&5
4054 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: AET2 alias library not found, skipping." >&5
34964055 $as_echo "$as_me: WARNING: AET2 alias library not found, skipping." >&2;}
34974056
34984057 fi
34994058 fi
35004059
35014060 as_ac_File=`$as_echo "ac_cv_file_"$SIMARAMA_BASE/ae2rw.h"" | $as_tr_sh`
3502 { $as_echo "$as_me:$LINENO: checking for \"$SIMARAMA_BASE/ae2rw.h\"" >&5
4061 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for \"$SIMARAMA_BASE/ae2rw.h\"" >&5
35034062 $as_echo_n "checking for \"$SIMARAMA_BASE/ae2rw.h\"... " >&6; }
3504 if { as_var=$as_ac_File; eval "test \"\${$as_var+set}\" = set"; }; then
4063 if eval \${$as_ac_File+:} false; then :
35054064 $as_echo_n "(cached) " >&6
35064065 else
35074066 test "$cross_compiling" = yes &&
3508 { { $as_echo "$as_me:$LINENO: error: cannot check for file existence when cross compiling" >&5
3509 $as_echo "$as_me: error: cannot check for file existence when cross compiling" >&2;}
3510 { (exit 1); exit 1; }; }
4067 as_fn_error $? "cannot check for file existence when cross compiling" "$LINENO" 5
35114068 if test -r ""$SIMARAMA_BASE/ae2rw.h""; then
35124069 eval "$as_ac_File=yes"
35134070 else
35144071 eval "$as_ac_File=no"
35154072 fi
35164073 fi
3517 ac_res=`eval 'as_val=${'$as_ac_File'}
3518 $as_echo "$as_val"'`
3519 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
4074 eval ac_res=\$$as_ac_File
4075 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
35204076 $as_echo "$ac_res" >&6; }
3521 as_val=`eval 'as_val=${'$as_ac_File'}
3522 $as_echo "$as_val"'`
3523 if test "x$as_val" = x""yes; then
4077 if eval test \"x\$"$as_ac_File"\" = x"yes"; then :
35244078 AET2_SOY=yes
35254079 else
35264080 AET2_SOY=no
35294083 if test "X$AET2_SOY" = "Xno" ; then
35304084 AET2_CFLAGS=
35314085 AET2_LDADD=
3532 { $as_echo "$as_me:$LINENO: WARNING: AET2 header not found, skipping." >&5
4086 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: AET2 header not found, skipping." >&5
35334087 $as_echo "$as_me: WARNING: AET2 header not found, skipping." >&2;}
35344088 fi
35354089
35364090 else
3537 { $as_echo "$as_me:$LINENO: result: no" >&5
4091 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
35384092 $as_echo "no" >&6; }
35394093 fi
35404094
35484102 do
35494103 # Extract the first word of "$ac_prog", so it can be a program name with args.
35504104 set dummy $ac_prog; ac_word=$2
3551 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4105 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
35524106 $as_echo_n "checking for $ac_word... " >&6; }
3553 if test "${ac_cv_prog_AWK+set}" = set; then
4107 if ${ac_cv_prog_AWK+:} false; then :
35544108 $as_echo_n "(cached) " >&6
35554109 else
35564110 if test -n "$AWK"; then
35614115 do
35624116 IFS=$as_save_IFS
35634117 test -z "$as_dir" && as_dir=.
3564 for ac_exec_ext in '' $ac_executable_extensions; do
3565 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4118 for ac_exec_ext in '' $ac_executable_extensions; do
4119 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
35664120 ac_cv_prog_AWK="$ac_prog"
3567 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4121 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
35684122 break 2
35694123 fi
35704124 done
3571 done
4125 done
35724126 IFS=$as_save_IFS
35734127
35744128 fi
35754129 fi
35764130 AWK=$ac_cv_prog_AWK
35774131 if test -n "$AWK"; then
3578 { $as_echo "$as_me:$LINENO: result: $AWK" >&5
4132 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $AWK" >&5
35794133 $as_echo "$AWK" >&6; }
35804134 else
3581 { $as_echo "$as_me:$LINENO: result: no" >&5
4135 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
35824136 $as_echo "no" >&6; }
35834137 fi
35844138
35944148 if test -n "$ac_tool_prefix"; then
35954149 # Extract the first word of "${ac_tool_prefix}gcc", so it can be a program name with args.
35964150 set dummy ${ac_tool_prefix}gcc; ac_word=$2
3597 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4151 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
35984152 $as_echo_n "checking for $ac_word... " >&6; }
3599 if test "${ac_cv_prog_CC+set}" = set; then
4153 if ${ac_cv_prog_CC+:} false; then :
36004154 $as_echo_n "(cached) " >&6
36014155 else
36024156 if test -n "$CC"; then
36074161 do
36084162 IFS=$as_save_IFS
36094163 test -z "$as_dir" && as_dir=.
3610 for ac_exec_ext in '' $ac_executable_extensions; do
3611 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4164 for ac_exec_ext in '' $ac_executable_extensions; do
4165 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
36124166 ac_cv_prog_CC="${ac_tool_prefix}gcc"
3613 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4167 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
36144168 break 2
36154169 fi
36164170 done
3617 done
4171 done
36184172 IFS=$as_save_IFS
36194173
36204174 fi
36214175 fi
36224176 CC=$ac_cv_prog_CC
36234177 if test -n "$CC"; then
3624 { $as_echo "$as_me:$LINENO: result: $CC" >&5
4178 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
36254179 $as_echo "$CC" >&6; }
36264180 else
3627 { $as_echo "$as_me:$LINENO: result: no" >&5
4181 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
36284182 $as_echo "no" >&6; }
36294183 fi
36304184
36344188 ac_ct_CC=$CC
36354189 # Extract the first word of "gcc", so it can be a program name with args.
36364190 set dummy gcc; ac_word=$2
3637 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4191 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
36384192 $as_echo_n "checking for $ac_word... " >&6; }
3639 if test "${ac_cv_prog_ac_ct_CC+set}" = set; then
4193 if ${ac_cv_prog_ac_ct_CC+:} false; then :
36404194 $as_echo_n "(cached) " >&6
36414195 else
36424196 if test -n "$ac_ct_CC"; then
36474201 do
36484202 IFS=$as_save_IFS
36494203 test -z "$as_dir" && as_dir=.
3650 for ac_exec_ext in '' $ac_executable_extensions; do
3651 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4204 for ac_exec_ext in '' $ac_executable_extensions; do
4205 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
36524206 ac_cv_prog_ac_ct_CC="gcc"
3653 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4207 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
36544208 break 2
36554209 fi
36564210 done
3657 done
4211 done
36584212 IFS=$as_save_IFS
36594213
36604214 fi
36614215 fi
36624216 ac_ct_CC=$ac_cv_prog_ac_ct_CC
36634217 if test -n "$ac_ct_CC"; then
3664 { $as_echo "$as_me:$LINENO: result: $ac_ct_CC" >&5
4218 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CC" >&5
36654219 $as_echo "$ac_ct_CC" >&6; }
36664220 else
3667 { $as_echo "$as_me:$LINENO: result: no" >&5
4221 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
36684222 $as_echo "no" >&6; }
36694223 fi
36704224
36734227 else
36744228 case $cross_compiling:$ac_tool_warned in
36754229 yes:)
3676 { $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
4230 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
36774231 $as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
36784232 ac_tool_warned=yes ;;
36794233 esac
36874241 if test -n "$ac_tool_prefix"; then
36884242 # Extract the first word of "${ac_tool_prefix}cc", so it can be a program name with args.
36894243 set dummy ${ac_tool_prefix}cc; ac_word=$2
3690 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4244 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
36914245 $as_echo_n "checking for $ac_word... " >&6; }
3692 if test "${ac_cv_prog_CC+set}" = set; then
4246 if ${ac_cv_prog_CC+:} false; then :
36934247 $as_echo_n "(cached) " >&6
36944248 else
36954249 if test -n "$CC"; then
37004254 do
37014255 IFS=$as_save_IFS
37024256 test -z "$as_dir" && as_dir=.
3703 for ac_exec_ext in '' $ac_executable_extensions; do
3704 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4257 for ac_exec_ext in '' $ac_executable_extensions; do
4258 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
37054259 ac_cv_prog_CC="${ac_tool_prefix}cc"
3706 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4260 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
37074261 break 2
37084262 fi
37094263 done
3710 done
4264 done
37114265 IFS=$as_save_IFS
37124266
37134267 fi
37144268 fi
37154269 CC=$ac_cv_prog_CC
37164270 if test -n "$CC"; then
3717 { $as_echo "$as_me:$LINENO: result: $CC" >&5
4271 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
37184272 $as_echo "$CC" >&6; }
37194273 else
3720 { $as_echo "$as_me:$LINENO: result: no" >&5
4274 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
37214275 $as_echo "no" >&6; }
37224276 fi
37234277
37274281 if test -z "$CC"; then
37284282 # Extract the first word of "cc", so it can be a program name with args.
37294283 set dummy cc; ac_word=$2
3730 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4284 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
37314285 $as_echo_n "checking for $ac_word... " >&6; }
3732 if test "${ac_cv_prog_CC+set}" = set; then
4286 if ${ac_cv_prog_CC+:} false; then :
37334287 $as_echo_n "(cached) " >&6
37344288 else
37354289 if test -n "$CC"; then
37414295 do
37424296 IFS=$as_save_IFS
37434297 test -z "$as_dir" && as_dir=.
3744 for ac_exec_ext in '' $ac_executable_extensions; do
3745 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4298 for ac_exec_ext in '' $ac_executable_extensions; do
4299 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
37464300 if test "$as_dir/$ac_word$ac_exec_ext" = "/usr/ucb/cc"; then
37474301 ac_prog_rejected=yes
37484302 continue
37494303 fi
37504304 ac_cv_prog_CC="cc"
3751 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4305 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
37524306 break 2
37534307 fi
37544308 done
3755 done
4309 done
37564310 IFS=$as_save_IFS
37574311
37584312 if test $ac_prog_rejected = yes; then
37714325 fi
37724326 CC=$ac_cv_prog_CC
37734327 if test -n "$CC"; then
3774 { $as_echo "$as_me:$LINENO: result: $CC" >&5
4328 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
37754329 $as_echo "$CC" >&6; }
37764330 else
3777 { $as_echo "$as_me:$LINENO: result: no" >&5
4331 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
37784332 $as_echo "no" >&6; }
37794333 fi
37804334
37864340 do
37874341 # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
37884342 set dummy $ac_tool_prefix$ac_prog; ac_word=$2
3789 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4343 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
37904344 $as_echo_n "checking for $ac_word... " >&6; }
3791 if test "${ac_cv_prog_CC+set}" = set; then
4345 if ${ac_cv_prog_CC+:} false; then :
37924346 $as_echo_n "(cached) " >&6
37934347 else
37944348 if test -n "$CC"; then
37994353 do
38004354 IFS=$as_save_IFS
38014355 test -z "$as_dir" && as_dir=.
3802 for ac_exec_ext in '' $ac_executable_extensions; do
3803 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4356 for ac_exec_ext in '' $ac_executable_extensions; do
4357 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
38044358 ac_cv_prog_CC="$ac_tool_prefix$ac_prog"
3805 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4359 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
38064360 break 2
38074361 fi
38084362 done
3809 done
4363 done
38104364 IFS=$as_save_IFS
38114365
38124366 fi
38134367 fi
38144368 CC=$ac_cv_prog_CC
38154369 if test -n "$CC"; then
3816 { $as_echo "$as_me:$LINENO: result: $CC" >&5
4370 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CC" >&5
38174371 $as_echo "$CC" >&6; }
38184372 else
3819 { $as_echo "$as_me:$LINENO: result: no" >&5
4373 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
38204374 $as_echo "no" >&6; }
38214375 fi
38224376
38304384 do
38314385 # Extract the first word of "$ac_prog", so it can be a program name with args.
38324386 set dummy $ac_prog; ac_word=$2
3833 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
4387 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
38344388 $as_echo_n "checking for $ac_word... " >&6; }
3835 if test "${ac_cv_prog_ac_ct_CC+set}" = set; then
4389 if ${ac_cv_prog_ac_ct_CC+:} false; then :
38364390 $as_echo_n "(cached) " >&6
38374391 else
38384392 if test -n "$ac_ct_CC"; then
38434397 do
38444398 IFS=$as_save_IFS
38454399 test -z "$as_dir" && as_dir=.
3846 for ac_exec_ext in '' $ac_executable_extensions; do
3847 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
4400 for ac_exec_ext in '' $ac_executable_extensions; do
4401 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
38484402 ac_cv_prog_ac_ct_CC="$ac_prog"
3849 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
4403 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
38504404 break 2
38514405 fi
38524406 done
3853 done
4407 done
38544408 IFS=$as_save_IFS
38554409
38564410 fi
38574411 fi
38584412 ac_ct_CC=$ac_cv_prog_ac_ct_CC
38594413 if test -n "$ac_ct_CC"; then
3860 { $as_echo "$as_me:$LINENO: result: $ac_ct_CC" >&5
4414 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_CC" >&5
38614415 $as_echo "$ac_ct_CC" >&6; }
38624416 else
3863 { $as_echo "$as_me:$LINENO: result: no" >&5
4417 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
38644418 $as_echo "no" >&6; }
38654419 fi
38664420
38734427 else
38744428 case $cross_compiling:$ac_tool_warned in
38754429 yes:)
3876 { $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
4430 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
38774431 $as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
38784432 ac_tool_warned=yes ;;
38794433 esac
38844438 fi
38854439
38864440
3887 test -z "$CC" && { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
4441 test -z "$CC" && { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
38884442 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
3889 { { $as_echo "$as_me:$LINENO: error: no acceptable C compiler found in \$PATH
3890 See \`config.log' for more details." >&5
3891 $as_echo "$as_me: error: no acceptable C compiler found in \$PATH
3892 See \`config.log' for more details." >&2;}
3893 { (exit 1); exit 1; }; }; }
4443 as_fn_error $? "no acceptable C compiler found in \$PATH
4444 See \`config.log' for more details" "$LINENO" 5; }
38944445
38954446 # Provide some information about the compiler.
3896 $as_echo "$as_me:$LINENO: checking for C compiler version" >&5
4447 $as_echo "$as_me:${as_lineno-$LINENO}: checking for C compiler version" >&5
38974448 set X $ac_compile
38984449 ac_compiler=$2
3899 { (ac_try="$ac_compiler --version >&5"
4450 for ac_option in --version -v -V -qversion; do
4451 { { ac_try="$ac_compiler $ac_option >&5"
39004452 case "(($ac_try" in
39014453 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
39024454 *) ac_try_echo=$ac_try;;
39034455 esac
3904 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
3905 $as_echo "$ac_try_echo") >&5
3906 (eval "$ac_compiler --version >&5") 2>&5
4456 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
4457 $as_echo "$ac_try_echo"; } >&5
4458 (eval "$ac_compiler $ac_option >&5") 2>conftest.err
39074459 ac_status=$?
3908 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
3909 (exit $ac_status); }
3910 { (ac_try="$ac_compiler -v >&5"
3911 case "(($ac_try" in
3912 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
3913 *) ac_try_echo=$ac_try;;
3914 esac
3915 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
3916 $as_echo "$ac_try_echo") >&5
3917 (eval "$ac_compiler -v >&5") 2>&5
3918 ac_status=$?
3919 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
3920 (exit $ac_status); }
3921 { (ac_try="$ac_compiler -V >&5"
3922 case "(($ac_try" in
3923 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
3924 *) ac_try_echo=$ac_try;;
3925 esac
3926 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
3927 $as_echo "$ac_try_echo") >&5
3928 (eval "$ac_compiler -V >&5") 2>&5
3929 ac_status=$?
3930 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
3931 (exit $ac_status); }
3932
3933 cat >conftest.$ac_ext <<_ACEOF
3934 /* confdefs.h. */
3935 _ACEOF
3936 cat confdefs.h >>conftest.$ac_ext
3937 cat >>conftest.$ac_ext <<_ACEOF
4460 if test -s conftest.err; then
4461 sed '10a\
4462 ... rest of stderr output deleted ...
4463 10q' conftest.err >conftest.er1
4464 cat conftest.er1 >&5
4465 fi
4466 rm -f conftest.er1 conftest.err
4467 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
4468 test $ac_status = 0; }
4469 done
4470
4471 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
39384472 /* end confdefs.h. */
39394473
39404474 int
39504484 # Try to create an executable without -o first, disregard a.out.
39514485 # It will help us diagnose broken compilers, and finding out an intuition
39524486 # of exeext.
3953 { $as_echo "$as_me:$LINENO: checking for C compiler default output file name" >&5
3954 $as_echo_n "checking for C compiler default output file name... " >&6; }
4487 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether the C compiler works" >&5
4488 $as_echo_n "checking whether the C compiler works... " >&6; }
39554489 ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
39564490
39574491 # The possible output files:
39674501 done
39684502 rm -f $ac_rmfiles
39694503
3970 if { (ac_try="$ac_link_default"
4504 if { { ac_try="$ac_link_default"
39714505 case "(($ac_try" in
39724506 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
39734507 *) ac_try_echo=$ac_try;;
39744508 esac
3975 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
3976 $as_echo "$ac_try_echo") >&5
4509 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
4510 $as_echo "$ac_try_echo"; } >&5
39774511 (eval "$ac_link_default") 2>&5
39784512 ac_status=$?
3979 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
3980 (exit $ac_status); }; then
4513 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
4514 test $ac_status = 0; }; then :
39814515 # Autoconf-2.13 could set the ac_cv_exeext variable to `no'.
39824516 # So ignore a value of `no', otherwise this would lead to `EXEEXT = no'
39834517 # in a Makefile. We should not override ac_cv_exeext if it was cached,
39944528 # certainly right.
39954529 break;;
39964530 *.* )
3997 if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
4531 if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
39984532 then :; else
39994533 ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
40004534 fi
40134547 else
40144548 ac_file=''
40154549 fi
4016
4017 { $as_echo "$as_me:$LINENO: result: $ac_file" >&5
4550 if test -z "$ac_file"; then :
4551 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
4552 $as_echo "no" >&6; }
4553 $as_echo "$as_me: failed program was:" >&5
4554 sed 's/^/| /' conftest.$ac_ext >&5
4555
4556 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
4557 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
4558 as_fn_error 77 "C compiler cannot create executables
4559 See \`config.log' for more details" "$LINENO" 5; }
4560 else
4561 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
4562 $as_echo "yes" >&6; }
4563 fi
4564 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for C compiler default output file name" >&5
4565 $as_echo_n "checking for C compiler default output file name... " >&6; }
4566 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_file" >&5
40184567 $as_echo "$ac_file" >&6; }
4019 if test -z "$ac_file"; then
4020 $as_echo "$as_me: failed program was:" >&5
4021 sed 's/^/| /' conftest.$ac_ext >&5
4022
4023 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
4024 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
4025 { { $as_echo "$as_me:$LINENO: error: C compiler cannot create executables
4026 See \`config.log' for more details." >&5
4027 $as_echo "$as_me: error: C compiler cannot create executables
4028 See \`config.log' for more details." >&2;}
4029 { (exit 77); exit 77; }; }; }
4030 fi
4031
40324568 ac_exeext=$ac_cv_exeext
4033
4034 # Check that the compiler produces executables we can run. If not, either
4035 # the compiler is broken, or we cross compile.
4036 { $as_echo "$as_me:$LINENO: checking whether the C compiler works" >&5
4037 $as_echo_n "checking whether the C compiler works... " >&6; }
4038 # FIXME: These cross compiler hacks should be removed for Autoconf 3.0
4039 # If not cross compiling, check that we can run a simple program.
4040 if test "$cross_compiling" != yes; then
4041 if { ac_try='./$ac_file'
4042 { (case "(($ac_try" in
4043 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4044 *) ac_try_echo=$ac_try;;
4045 esac
4046 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4047 $as_echo "$ac_try_echo") >&5
4048 (eval "$ac_try") 2>&5
4049 ac_status=$?
4050 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4051 (exit $ac_status); }; }; then
4052 cross_compiling=no
4053 else
4054 if test "$cross_compiling" = maybe; then
4055 cross_compiling=yes
4056 else
4057 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
4058 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
4059 { { $as_echo "$as_me:$LINENO: error: cannot run C compiled programs.
4060 If you meant to cross compile, use \`--host'.
4061 See \`config.log' for more details." >&5
4062 $as_echo "$as_me: error: cannot run C compiled programs.
4063 If you meant to cross compile, use \`--host'.
4064 See \`config.log' for more details." >&2;}
4065 { (exit 1); exit 1; }; }; }
4066 fi
4067 fi
4068 fi
4069 { $as_echo "$as_me:$LINENO: result: yes" >&5
4070 $as_echo "yes" >&6; }
40714569
40724570 rm -f -r a.out a.out.dSYM a.exe conftest$ac_cv_exeext b.out
40734571 ac_clean_files=$ac_clean_files_save
4074 # Check that the compiler produces executables we can run. If not, either
4075 # the compiler is broken, or we cross compile.
4076 { $as_echo "$as_me:$LINENO: checking whether we are cross compiling" >&5
4077 $as_echo_n "checking whether we are cross compiling... " >&6; }
4078 { $as_echo "$as_me:$LINENO: result: $cross_compiling" >&5
4079 $as_echo "$cross_compiling" >&6; }
4080
4081 { $as_echo "$as_me:$LINENO: checking for suffix of executables" >&5
4572 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of executables" >&5
40824573 $as_echo_n "checking for suffix of executables... " >&6; }
4083 if { (ac_try="$ac_link"
4574 if { { ac_try="$ac_link"
40844575 case "(($ac_try" in
40854576 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
40864577 *) ac_try_echo=$ac_try;;
40874578 esac
4088 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4089 $as_echo "$ac_try_echo") >&5
4579 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
4580 $as_echo "$ac_try_echo"; } >&5
40904581 (eval "$ac_link") 2>&5
40914582 ac_status=$?
4092 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4093 (exit $ac_status); }; then
4583 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
4584 test $ac_status = 0; }; then :
40944585 # If both `conftest.exe' and `conftest' are `present' (well, observable)
40954586 # catch `conftest.exe'. For instance with Cygwin, `ls conftest' will
40964587 # work properly (i.e., refer to `conftest.exe'), while it won't with
41054596 esac
41064597 done
41074598 else
4108 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
4599 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
41094600 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
4110 { { $as_echo "$as_me:$LINENO: error: cannot compute suffix of executables: cannot compile and link
4111 See \`config.log' for more details." >&5
4112 $as_echo "$as_me: error: cannot compute suffix of executables: cannot compile and link
4113 See \`config.log' for more details." >&2;}
4114 { (exit 1); exit 1; }; }; }
4115 fi
4116
4117 rm -f conftest$ac_cv_exeext
4118 { $as_echo "$as_me:$LINENO: result: $ac_cv_exeext" >&5
4601 as_fn_error $? "cannot compute suffix of executables: cannot compile and link
4602 See \`config.log' for more details" "$LINENO" 5; }
4603 fi
4604 rm -f conftest conftest$ac_cv_exeext
4605 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_exeext" >&5
41194606 $as_echo "$ac_cv_exeext" >&6; }
41204607
41214608 rm -f conftest.$ac_ext
41224609 EXEEXT=$ac_cv_exeext
41234610 ac_exeext=$EXEEXT
4124 { $as_echo "$as_me:$LINENO: checking for suffix of object files" >&5
4125 $as_echo_n "checking for suffix of object files... " >&6; }
4126 if test "${ac_cv_objext+set}" = set; then
4127 $as_echo_n "(cached) " >&6
4128 else
4129 cat >conftest.$ac_ext <<_ACEOF
4130 /* confdefs.h. */
4131 _ACEOF
4132 cat confdefs.h >>conftest.$ac_ext
4133 cat >>conftest.$ac_ext <<_ACEOF
4611 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
41344612 /* end confdefs.h. */
4135
4613 #include <stdio.h>
41364614 int
41374615 main ()
41384616 {
4617 FILE *f = fopen ("conftest.out", "w");
4618 return ferror (f) || fclose (f) != 0;
41394619
41404620 ;
41414621 return 0;
41424622 }
41434623 _ACEOF
4144 rm -f conftest.o conftest.obj
4145 if { (ac_try="$ac_compile"
4624 ac_clean_files="$ac_clean_files conftest.out"
4625 # Check that the compiler produces executables we can run. If not, either
4626 # the compiler is broken, or we cross compile.
4627 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are cross compiling" >&5
4628 $as_echo_n "checking whether we are cross compiling... " >&6; }
4629 if test "$cross_compiling" != yes; then
4630 { { ac_try="$ac_link"
41464631 case "(($ac_try" in
41474632 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
41484633 *) ac_try_echo=$ac_try;;
41494634 esac
4150 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4151 $as_echo "$ac_try_echo") >&5
4635 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
4636 $as_echo "$ac_try_echo"; } >&5
4637 (eval "$ac_link") 2>&5
4638 ac_status=$?
4639 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
4640 test $ac_status = 0; }
4641 if { ac_try='./conftest$ac_cv_exeext'
4642 { { case "(($ac_try" in
4643 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4644 *) ac_try_echo=$ac_try;;
4645 esac
4646 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
4647 $as_echo "$ac_try_echo"; } >&5
4648 (eval "$ac_try") 2>&5
4649 ac_status=$?
4650 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
4651 test $ac_status = 0; }; }; then
4652 cross_compiling=no
4653 else
4654 if test "$cross_compiling" = maybe; then
4655 cross_compiling=yes
4656 else
4657 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
4658 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
4659 as_fn_error $? "cannot run C compiled programs.
4660 If you meant to cross compile, use \`--host'.
4661 See \`config.log' for more details" "$LINENO" 5; }
4662 fi
4663 fi
4664 fi
4665 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $cross_compiling" >&5
4666 $as_echo "$cross_compiling" >&6; }
4667
4668 rm -f conftest.$ac_ext conftest$ac_cv_exeext conftest.out
4669 ac_clean_files=$ac_clean_files_save
4670 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for suffix of object files" >&5
4671 $as_echo_n "checking for suffix of object files... " >&6; }
4672 if ${ac_cv_objext+:} false; then :
4673 $as_echo_n "(cached) " >&6
4674 else
4675 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
4676 /* end confdefs.h. */
4677
4678 int
4679 main ()
4680 {
4681
4682 ;
4683 return 0;
4684 }
4685 _ACEOF
4686 rm -f conftest.o conftest.obj
4687 if { { ac_try="$ac_compile"
4688 case "(($ac_try" in
4689 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4690 *) ac_try_echo=$ac_try;;
4691 esac
4692 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
4693 $as_echo "$ac_try_echo"; } >&5
41524694 (eval "$ac_compile") 2>&5
41534695 ac_status=$?
4154 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4155 (exit $ac_status); }; then
4696 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
4697 test $ac_status = 0; }; then :
41564698 for ac_file in conftest.o conftest.obj conftest.*; do
41574699 test -f "$ac_file" || continue;
41584700 case $ac_file in
41654707 $as_echo "$as_me: failed program was:" >&5
41664708 sed 's/^/| /' conftest.$ac_ext >&5
41674709
4168 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
4710 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
41694711 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
4170 { { $as_echo "$as_me:$LINENO: error: cannot compute suffix of object files: cannot compile
4171 See \`config.log' for more details." >&5
4172 $as_echo "$as_me: error: cannot compute suffix of object files: cannot compile
4173 See \`config.log' for more details." >&2;}
4174 { (exit 1); exit 1; }; }; }
4175 fi
4176
4712 as_fn_error $? "cannot compute suffix of object files: cannot compile
4713 See \`config.log' for more details" "$LINENO" 5; }
4714 fi
41774715 rm -f conftest.$ac_cv_objext conftest.$ac_ext
41784716 fi
4179 { $as_echo "$as_me:$LINENO: result: $ac_cv_objext" >&5
4717 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_objext" >&5
41804718 $as_echo "$ac_cv_objext" >&6; }
41814719 OBJEXT=$ac_cv_objext
41824720 ac_objext=$OBJEXT
4183 { $as_echo "$as_me:$LINENO: checking whether we are using the GNU C compiler" >&5
4721 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether we are using the GNU C compiler" >&5
41844722 $as_echo_n "checking whether we are using the GNU C compiler... " >&6; }
4185 if test "${ac_cv_c_compiler_gnu+set}" = set; then
4723 if ${ac_cv_c_compiler_gnu+:} false; then :
41864724 $as_echo_n "(cached) " >&6
41874725 else
4188 cat >conftest.$ac_ext <<_ACEOF
4189 /* confdefs.h. */
4190 _ACEOF
4191 cat confdefs.h >>conftest.$ac_ext
4192 cat >>conftest.$ac_ext <<_ACEOF
4726 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
41934727 /* end confdefs.h. */
41944728
41954729 int
42034737 return 0;
42044738 }
42054739 _ACEOF
4206 rm -f conftest.$ac_objext
4207 if { (ac_try="$ac_compile"
4208 case "(($ac_try" in
4209 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4210 *) ac_try_echo=$ac_try;;
4211 esac
4212 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4213 $as_echo "$ac_try_echo") >&5
4214 (eval "$ac_compile") 2>conftest.er1
4215 ac_status=$?
4216 grep -v '^ *+' conftest.er1 >conftest.err
4217 rm -f conftest.er1
4218 cat conftest.err >&5
4219 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4220 (exit $ac_status); } && {
4221 test -z "$ac_c_werror_flag" ||
4222 test ! -s conftest.err
4223 } && test -s conftest.$ac_objext; then
4740 if ac_fn_c_try_compile "$LINENO"; then :
42244741 ac_compiler_gnu=yes
42254742 else
4226 $as_echo "$as_me: failed program was:" >&5
4227 sed 's/^/| /' conftest.$ac_ext >&5
4228
4229 ac_compiler_gnu=no
4230 fi
4231
4743 ac_compiler_gnu=no
4744 fi
42324745 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
42334746 ac_cv_c_compiler_gnu=$ac_compiler_gnu
42344747
42354748 fi
4236 { $as_echo "$as_me:$LINENO: result: $ac_cv_c_compiler_gnu" >&5
4749 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_compiler_gnu" >&5
42374750 $as_echo "$ac_cv_c_compiler_gnu" >&6; }
42384751 if test $ac_compiler_gnu = yes; then
42394752 GCC=yes
42424755 fi
42434756 ac_test_CFLAGS=${CFLAGS+set}
42444757 ac_save_CFLAGS=$CFLAGS
4245 { $as_echo "$as_me:$LINENO: checking whether $CC accepts -g" >&5
4758 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CC accepts -g" >&5
42464759 $as_echo_n "checking whether $CC accepts -g... " >&6; }
4247 if test "${ac_cv_prog_cc_g+set}" = set; then
4760 if ${ac_cv_prog_cc_g+:} false; then :
42484761 $as_echo_n "(cached) " >&6
42494762 else
42504763 ac_save_c_werror_flag=$ac_c_werror_flag
42514764 ac_c_werror_flag=yes
42524765 ac_cv_prog_cc_g=no
42534766 CFLAGS="-g"
4254 cat >conftest.$ac_ext <<_ACEOF
4255 /* confdefs.h. */
4256 _ACEOF
4257 cat confdefs.h >>conftest.$ac_ext
4258 cat >>conftest.$ac_ext <<_ACEOF
4767 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
42594768 /* end confdefs.h. */
42604769
42614770 int
42664775 return 0;
42674776 }
42684777 _ACEOF
4269 rm -f conftest.$ac_objext
4270 if { (ac_try="$ac_compile"
4271 case "(($ac_try" in
4272 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4273 *) ac_try_echo=$ac_try;;
4274 esac
4275 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4276 $as_echo "$ac_try_echo") >&5
4277 (eval "$ac_compile") 2>conftest.er1
4278 ac_status=$?
4279 grep -v '^ *+' conftest.er1 >conftest.err
4280 rm -f conftest.er1
4281 cat conftest.err >&5
4282 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4283 (exit $ac_status); } && {
4284 test -z "$ac_c_werror_flag" ||
4285 test ! -s conftest.err
4286 } && test -s conftest.$ac_objext; then
4778 if ac_fn_c_try_compile "$LINENO"; then :
42874779 ac_cv_prog_cc_g=yes
42884780 else
4289 $as_echo "$as_me: failed program was:" >&5
4290 sed 's/^/| /' conftest.$ac_ext >&5
4291
4292 CFLAGS=""
4293 cat >conftest.$ac_ext <<_ACEOF
4294 /* confdefs.h. */
4295 _ACEOF
4296 cat confdefs.h >>conftest.$ac_ext
4297 cat >>conftest.$ac_ext <<_ACEOF
4781 CFLAGS=""
4782 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
42984783 /* end confdefs.h. */
42994784
43004785 int
43054790 return 0;
43064791 }
43074792 _ACEOF
4308 rm -f conftest.$ac_objext
4309 if { (ac_try="$ac_compile"
4310 case "(($ac_try" in
4311 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4312 *) ac_try_echo=$ac_try;;
4313 esac
4314 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4315 $as_echo "$ac_try_echo") >&5
4316 (eval "$ac_compile") 2>conftest.er1
4317 ac_status=$?
4318 grep -v '^ *+' conftest.er1 >conftest.err
4319 rm -f conftest.er1
4320 cat conftest.err >&5
4321 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4322 (exit $ac_status); } && {
4323 test -z "$ac_c_werror_flag" ||
4324 test ! -s conftest.err
4325 } && test -s conftest.$ac_objext; then
4326 :
4327 else
4328 $as_echo "$as_me: failed program was:" >&5
4329 sed 's/^/| /' conftest.$ac_ext >&5
4330
4331 ac_c_werror_flag=$ac_save_c_werror_flag
4793 if ac_fn_c_try_compile "$LINENO"; then :
4794
4795 else
4796 ac_c_werror_flag=$ac_save_c_werror_flag
43324797 CFLAGS="-g"
4333 cat >conftest.$ac_ext <<_ACEOF
4334 /* confdefs.h. */
4335 _ACEOF
4336 cat confdefs.h >>conftest.$ac_ext
4337 cat >>conftest.$ac_ext <<_ACEOF
4798 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
43384799 /* end confdefs.h. */
43394800
43404801 int
43454806 return 0;
43464807 }
43474808 _ACEOF
4348 rm -f conftest.$ac_objext
4349 if { (ac_try="$ac_compile"
4350 case "(($ac_try" in
4351 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4352 *) ac_try_echo=$ac_try;;
4353 esac
4354 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4355 $as_echo "$ac_try_echo") >&5
4356 (eval "$ac_compile") 2>conftest.er1
4357 ac_status=$?
4358 grep -v '^ *+' conftest.er1 >conftest.err
4359 rm -f conftest.er1
4360 cat conftest.err >&5
4361 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4362 (exit $ac_status); } && {
4363 test -z "$ac_c_werror_flag" ||
4364 test ! -s conftest.err
4365 } && test -s conftest.$ac_objext; then
4809 if ac_fn_c_try_compile "$LINENO"; then :
43664810 ac_cv_prog_cc_g=yes
4367 else
4368 $as_echo "$as_me: failed program was:" >&5
4369 sed 's/^/| /' conftest.$ac_ext >&5
4370
4371
4372 fi
4373
4811 fi
43744812 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
43754813 fi
4376
43774814 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
43784815 fi
4379
43804816 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
43814817 ac_c_werror_flag=$ac_save_c_werror_flag
43824818 fi
4383 { $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cc_g" >&5
4819 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cc_g" >&5
43844820 $as_echo "$ac_cv_prog_cc_g" >&6; }
43854821 if test "$ac_test_CFLAGS" = set; then
43864822 CFLAGS=$ac_save_CFLAGS
43974833 CFLAGS=
43984834 fi
43994835 fi
4400 { $as_echo "$as_me:$LINENO: checking for $CC option to accept ISO C89" >&5
4836 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $CC option to accept ISO C89" >&5
44014837 $as_echo_n "checking for $CC option to accept ISO C89... " >&6; }
4402 if test "${ac_cv_prog_cc_c89+set}" = set; then
4838 if ${ac_cv_prog_cc_c89+:} false; then :
44034839 $as_echo_n "(cached) " >&6
44044840 else
44054841 ac_cv_prog_cc_c89=no
44064842 ac_save_CC=$CC
4407 cat >conftest.$ac_ext <<_ACEOF
4408 /* confdefs.h. */
4409 _ACEOF
4410 cat confdefs.h >>conftest.$ac_ext
4411 cat >>conftest.$ac_ext <<_ACEOF
4843 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
44124844 /* end confdefs.h. */
44134845 #include <stdarg.h>
44144846 #include <stdio.h>
4415 #include <sys/types.h>
4416 #include <sys/stat.h>
4847 struct stat;
44174848 /* Most of the following tests are stolen from RCS 5.7's src/conf.sh. */
44184849 struct buf { int x; };
44194850 FILE * (*rcsopen) (struct buf *, struct stat *, int);
44654896 -Ae "-Aa -D_HPUX_SOURCE" "-Xc -D__EXTENSIONS__"
44664897 do
44674898 CC="$ac_save_CC $ac_arg"
4468 rm -f conftest.$ac_objext
4469 if { (ac_try="$ac_compile"
4470 case "(($ac_try" in
4471 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4472 *) ac_try_echo=$ac_try;;
4473 esac
4474 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4475 $as_echo "$ac_try_echo") >&5
4476 (eval "$ac_compile") 2>conftest.er1
4477 ac_status=$?
4478 grep -v '^ *+' conftest.er1 >conftest.err
4479 rm -f conftest.er1
4480 cat conftest.err >&5
4481 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4482 (exit $ac_status); } && {
4483 test -z "$ac_c_werror_flag" ||
4484 test ! -s conftest.err
4485 } && test -s conftest.$ac_objext; then
4899 if ac_fn_c_try_compile "$LINENO"; then :
44864900 ac_cv_prog_cc_c89=$ac_arg
4487 else
4488 $as_echo "$as_me: failed program was:" >&5
4489 sed 's/^/| /' conftest.$ac_ext >&5
4490
4491
4492 fi
4493
4901 fi
44944902 rm -f core conftest.err conftest.$ac_objext
44954903 test "x$ac_cv_prog_cc_c89" != "xno" && break
44964904 done
45014909 # AC_CACHE_VAL
45024910 case "x$ac_cv_prog_cc_c89" in
45034911 x)
4504 { $as_echo "$as_me:$LINENO: result: none needed" >&5
4912 { $as_echo "$as_me:${as_lineno-$LINENO}: result: none needed" >&5
45054913 $as_echo "none needed" >&6; } ;;
45064914 xno)
4507 { $as_echo "$as_me:$LINENO: result: unsupported" >&5
4915 { $as_echo "$as_me:${as_lineno-$LINENO}: result: unsupported" >&5
45084916 $as_echo "unsupported" >&6; } ;;
45094917 *)
45104918 CC="$CC $ac_cv_prog_cc_c89"
4511 { $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cc_c89" >&5
4919 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_cc_c89" >&5
45124920 $as_echo "$ac_cv_prog_cc_c89" >&6; } ;;
45134921 esac
4514
4922 if test "x$ac_cv_prog_cc_c89" != xno; then :
4923
4924 fi
45154925
45164926 ac_ext=c
45174927 ac_cpp='$CPP $CPPFLAGS'
45304940 .PHONY: am__doit
45314941 END
45324942 # If we don't find an include directive, just comment out the code.
4533 { $as_echo "$as_me:$LINENO: checking for style of include used by $am_make" >&5
4943 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for style of include used by $am_make" >&5
45344944 $as_echo_n "checking for style of include used by $am_make... " >&6; }
45354945 am__include="#"
45364946 am__quote=
45374947 _am_result=none
45384948 # First try GNU make style include.
45394949 echo "include confinc" > confmf
4540 # Ignore all kinds of additional output from `make'.
4950 # Ignore all kinds of additional output from 'make'.
45414951 case `$am_make -s -f confmf 2> /dev/null` in #(
45424952 *the\ am__doit\ target*)
45434953 am__include=include
45584968 fi
45594969
45604970
4561 { $as_echo "$as_me:$LINENO: result: $_am_result" >&5
4971 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $_am_result" >&5
45624972 $as_echo "$_am_result" >&6; }
45634973 rm -f confinc confmf
45644974
45654975 # Check whether --enable-dependency-tracking was given.
4566 if test "${enable_dependency_tracking+set}" = set; then
4976 if test "${enable_dependency_tracking+set}" = set; then :
45674977 enableval=$enable_dependency_tracking;
45684978 fi
45694979
45704980 if test "x$enable_dependency_tracking" != xno; then
45714981 am_depcomp="$ac_aux_dir/depcomp"
45724982 AMDEPBACKSLASH='\'
4983 am__nodep='_no'
45734984 fi
45744985 if test "x$enable_dependency_tracking" != xno; then
45754986 AMDEP_TRUE=
45834994
45844995 depcc="$CC" am_compiler_list=
45854996
4586 { $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
4997 { $as_echo "$as_me:${as_lineno-$LINENO}: checking dependency style of $depcc" >&5
45874998 $as_echo_n "checking dependency style of $depcc... " >&6; }
4588 if test "${am_cv_CC_dependencies_compiler_type+set}" = set; then
4999 if ${am_cv_CC_dependencies_compiler_type+:} false; then :
45895000 $as_echo_n "(cached) " >&6
45905001 else
45915002 if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
45925003 # We make a subdir and do the tests there. Otherwise we can end up
45935004 # making bogus files that we don't know about and never remove. For
45945005 # instance it was reported that on HP-UX the gcc test will end up
4595 # making a dummy file named `D' -- because `-MD' means `put the output
4596 # in D'.
5006 # making a dummy file named 'D' -- because '-MD' means "put the output
5007 # in D".
5008 rm -rf conftest.dir
45975009 mkdir conftest.dir
45985010 # Copy depcomp to subdir because otherwise we won't find it if we're
45995011 # using a relative directory.
46275039 : > sub/conftest.c
46285040 for i in 1 2 3 4 5 6; do
46295041 echo '#include "conftst'$i'.h"' >> sub/conftest.c
4630 # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
4631 # Solaris 8's {/usr,}/bin/sh.
4632 touch sub/conftst$i.h
5042 # Using ": > sub/conftst$i.h" creates only sub/conftst1.h with
5043 # Solaris 10 /bin/sh.
5044 echo '/* dummy */' > sub/conftst$i.h
46335045 done
46345046 echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
46355047
4636 # We check with `-c' and `-o' for the sake of the "dashmstdout"
5048 # We check with '-c' and '-o' for the sake of the "dashmstdout"
46375049 # mode. It turns out that the SunPro C++ compiler does not properly
4638 # handle `-M -o', and we need to detect this. Also, some Intel
4639 # versions had trouble with output in subdirs
5050 # handle '-M -o', and we need to detect this. Also, some Intel
5051 # versions had trouble with output in subdirs.
46405052 am__obj=sub/conftest.${OBJEXT-o}
46415053 am__minus_obj="-o $am__obj"
46425054 case $depmode in
46455057 test "$am__universal" = false || continue
46465058 ;;
46475059 nosideeffect)
4648 # after this tag, mechanisms are not by side-effect, so they'll
4649 # only be used when explicitly requested
5060 # After this tag, mechanisms are not by side-effect, so they'll
5061 # only be used when explicitly requested.
46505062 if test "x$enable_dependency_tracking" = xyes; then
46515063 continue
46525064 else
46535065 break
46545066 fi
46555067 ;;
4656 msvisualcpp | msvcmsys)
4657 # This compiler won't grok `-c -o', but also, the minuso test has
5068 msvc7 | msvc7msys | msvisualcpp | msvcmsys)
5069 # This compiler won't grok '-c -o', but also, the minuso test has
46585070 # not run yet. These depmodes are late enough in the game, and
46595071 # so weak that their functioning should not be impacted.
46605072 am__obj=conftest.${OBJEXT-o}
46935105 fi
46945106
46955107 fi
4696 { $as_echo "$as_me:$LINENO: result: $am_cv_CC_dependencies_compiler_type" >&5
5108 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $am_cv_CC_dependencies_compiler_type" >&5
46975109 $as_echo "$am_cv_CC_dependencies_compiler_type" >&6; }
46985110 CCDEPMODE=depmode=$am_cv_CC_dependencies_compiler_type
46995111
47085120 fi
47095121
47105122
4711 { $as_echo "$as_me:$LINENO: checking whether ${MAKE-make} sets \$(MAKE)" >&5
5123 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ${MAKE-make} sets \$(MAKE)" >&5
47125124 $as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
47135125 set x ${MAKE-make}
47145126 ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
4715 if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then
5127 if eval \${ac_cv_prog_make_${ac_make}_set+:} false; then :
47165128 $as_echo_n "(cached) " >&6
47175129 else
47185130 cat >conftest.make <<\_ACEOF
47205132 all:
47215133 @echo '@@@%%%=$(MAKE)=@@@%%%'
47225134 _ACEOF
4723 # GNU make sometimes prints "make[1]: Entering...", which would confuse us.
5135 # GNU make sometimes prints "make[1]: Entering ...", which would confuse us.
47245136 case `${MAKE-make} -f conftest.make 2>/dev/null` in
47255137 *@@@%%%=?*=@@@%%%*)
47265138 eval ac_cv_prog_make_${ac_make}_set=yes;;
47305142 rm -f conftest.make
47315143 fi
47325144 if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
4733 { $as_echo "$as_me:$LINENO: result: yes" >&5
5145 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
47345146 $as_echo "yes" >&6; }
47355147 SET_MAKE=
47365148 else
4737 { $as_echo "$as_me:$LINENO: result: no" >&5
5149 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
47385150 $as_echo "no" >&6; }
47395151 SET_MAKE="MAKE=${MAKE-make}"
47405152 fi
47445156 do
47455157 # Extract the first word of "$ac_prog", so it can be a program name with args.
47465158 set dummy $ac_prog; ac_word=$2
4747 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5159 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
47485160 $as_echo_n "checking for $ac_word... " >&6; }
4749 if test "${ac_cv_prog_LEX+set}" = set; then
5161 if ${ac_cv_prog_LEX+:} false; then :
47505162 $as_echo_n "(cached) " >&6
47515163 else
47525164 if test -n "$LEX"; then
47575169 do
47585170 IFS=$as_save_IFS
47595171 test -z "$as_dir" && as_dir=.
4760 for ac_exec_ext in '' $ac_executable_extensions; do
4761 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5172 for ac_exec_ext in '' $ac_executable_extensions; do
5173 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
47625174 ac_cv_prog_LEX="$ac_prog"
4763 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5175 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
47645176 break 2
47655177 fi
47665178 done
4767 done
5179 done
47685180 IFS=$as_save_IFS
47695181
47705182 fi
47715183 fi
47725184 LEX=$ac_cv_prog_LEX
47735185 if test -n "$LEX"; then
4774 { $as_echo "$as_me:$LINENO: result: $LEX" >&5
5186 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $LEX" >&5
47755187 $as_echo "$LEX" >&6; }
47765188 else
4777 { $as_echo "$as_me:$LINENO: result: no" >&5
5189 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
47785190 $as_echo "no" >&6; }
47795191 fi
47805192
47905202 b { REJECT; }
47915203 c { yymore (); }
47925204 d { yyless (1); }
4793 e { yyless (input () != 0); }
5205 e { /* IRIX 6.5 flex 2.5.4 underquotes its yyless argument. */
5206 yyless ((input () != 0)); }
47945207 f { unput (yytext[0]); }
47955208 . { BEGIN INITIAL; }
47965209 %%
48035216 return ! yylex () + ! yywrap ();
48045217 }
48055218 _ACEOF
4806 { (ac_try="$LEX conftest.l"
5219 { { ac_try="$LEX conftest.l"
48075220 case "(($ac_try" in
48085221 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
48095222 *) ac_try_echo=$ac_try;;
48105223 esac
4811 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4812 $as_echo "$ac_try_echo") >&5
5224 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
5225 $as_echo "$ac_try_echo"; } >&5
48135226 (eval "$LEX conftest.l") 2>&5
48145227 ac_status=$?
4815 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4816 (exit $ac_status); }
4817 { $as_echo "$as_me:$LINENO: checking lex output file root" >&5
5228 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
5229 test $ac_status = 0; }
5230 { $as_echo "$as_me:${as_lineno-$LINENO}: checking lex output file root" >&5
48185231 $as_echo_n "checking lex output file root... " >&6; }
4819 if test "${ac_cv_prog_lex_root+set}" = set; then
5232 if ${ac_cv_prog_lex_root+:} false; then :
48205233 $as_echo_n "(cached) " >&6
48215234 else
48225235
48255238 elif test -f lexyy.c; then
48265239 ac_cv_prog_lex_root=lexyy
48275240 else
4828 { { $as_echo "$as_me:$LINENO: error: cannot find output from $LEX; giving up" >&5
4829 $as_echo "$as_me: error: cannot find output from $LEX; giving up" >&2;}
4830 { (exit 1); exit 1; }; }
4831 fi
4832 fi
4833 { $as_echo "$as_me:$LINENO: result: $ac_cv_prog_lex_root" >&5
5241 as_fn_error $? "cannot find output from $LEX; giving up" "$LINENO" 5
5242 fi
5243 fi
5244 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_lex_root" >&5
48345245 $as_echo "$ac_cv_prog_lex_root" >&6; }
48355246 LEX_OUTPUT_ROOT=$ac_cv_prog_lex_root
48365247
48375248 if test -z "${LEXLIB+set}"; then
4838 { $as_echo "$as_me:$LINENO: checking lex library" >&5
5249 { $as_echo "$as_me:${as_lineno-$LINENO}: checking lex library" >&5
48395250 $as_echo_n "checking lex library... " >&6; }
4840 if test "${ac_cv_lib_lex+set}" = set; then
5251 if ${ac_cv_lib_lex+:} false; then :
48415252 $as_echo_n "(cached) " >&6
48425253 else
48435254
48455256 ac_cv_lib_lex='none needed'
48465257 for ac_lib in '' -lfl -ll; do
48475258 LIBS="$ac_lib $ac_save_LIBS"
4848 cat >conftest.$ac_ext <<_ACEOF
5259 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
5260 /* end confdefs.h. */
48495261 `cat $LEX_OUTPUT_ROOT.c`
48505262 _ACEOF
4851 rm -f conftest.$ac_objext conftest$ac_exeext
4852 if { (ac_try="$ac_link"
4853 case "(($ac_try" in
4854 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4855 *) ac_try_echo=$ac_try;;
4856 esac
4857 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4858 $as_echo "$ac_try_echo") >&5
4859 (eval "$ac_link") 2>conftest.er1
4860 ac_status=$?
4861 grep -v '^ *+' conftest.er1 >conftest.err
4862 rm -f conftest.er1
4863 cat conftest.err >&5
4864 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4865 (exit $ac_status); } && {
4866 test -z "$ac_c_werror_flag" ||
4867 test ! -s conftest.err
4868 } && test -s conftest$ac_exeext && {
4869 test "$cross_compiling" = yes ||
4870 $as_test_x conftest$ac_exeext
4871 }; then
5263 if ac_fn_c_try_link "$LINENO"; then :
48725264 ac_cv_lib_lex=$ac_lib
4873 else
4874 $as_echo "$as_me: failed program was:" >&5
4875 sed 's/^/| /' conftest.$ac_ext >&5
4876
4877
4878 fi
4879
4880 rm -rf conftest.dSYM
4881 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
4882 conftest$ac_exeext conftest.$ac_ext
5265 fi
5266 rm -f core conftest.err conftest.$ac_objext \
5267 conftest$ac_exeext conftest.$ac_ext
48835268 test "$ac_cv_lib_lex" != 'none needed' && break
48845269 done
48855270 LIBS=$ac_save_LIBS
48865271
48875272 fi
4888 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_lex" >&5
5273 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lex" >&5
48895274 $as_echo "$ac_cv_lib_lex" >&6; }
48905275 test "$ac_cv_lib_lex" != 'none needed' && LEXLIB=$ac_cv_lib_lex
48915276 fi
48925277
48935278
4894 { $as_echo "$as_me:$LINENO: checking whether yytext is a pointer" >&5
5279 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether yytext is a pointer" >&5
48955280 $as_echo_n "checking whether yytext is a pointer... " >&6; }
4896 if test "${ac_cv_prog_lex_yytext_pointer+set}" = set; then
5281 if ${ac_cv_prog_lex_yytext_pointer+:} false; then :
48975282 $as_echo_n "(cached) " >&6
48985283 else
48995284 # POSIX says lex can declare yytext either as a pointer or an array; the
49025287 ac_cv_prog_lex_yytext_pointer=no
49035288 ac_save_LIBS=$LIBS
49045289 LIBS="$LEXLIB $ac_save_LIBS"
4905 cat >conftest.$ac_ext <<_ACEOF
4906 #define YYTEXT_POINTER 1
5290 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
5291 /* end confdefs.h. */
5292
5293 #define YYTEXT_POINTER 1
49075294 `cat $LEX_OUTPUT_ROOT.c`
49085295 _ACEOF
4909 rm -f conftest.$ac_objext conftest$ac_exeext
4910 if { (ac_try="$ac_link"
4911 case "(($ac_try" in
4912 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
4913 *) ac_try_echo=$ac_try;;
4914 esac
4915 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
4916 $as_echo "$ac_try_echo") >&5
4917 (eval "$ac_link") 2>conftest.er1
4918 ac_status=$?
4919 grep -v '^ *+' conftest.er1 >conftest.err
4920 rm -f conftest.er1
4921 cat conftest.err >&5
4922 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
4923 (exit $ac_status); } && {
4924 test -z "$ac_c_werror_flag" ||
4925 test ! -s conftest.err
4926 } && test -s conftest$ac_exeext && {
4927 test "$cross_compiling" = yes ||
4928 $as_test_x conftest$ac_exeext
4929 }; then
5296 if ac_fn_c_try_link "$LINENO"; then :
49305297 ac_cv_prog_lex_yytext_pointer=yes
4931 else
4932 $as_echo "$as_me: failed program was:" >&5
4933 sed 's/^/| /' conftest.$ac_ext >&5
4934
4935
4936 fi
4937
4938 rm -rf conftest.dSYM
4939 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
4940 conftest$ac_exeext conftest.$ac_ext
5298 fi
5299 rm -f core conftest.err conftest.$ac_objext \
5300 conftest$ac_exeext conftest.$ac_ext
49415301 LIBS=$ac_save_LIBS
49425302
49435303 fi
4944 { $as_echo "$as_me:$LINENO: result: $ac_cv_prog_lex_yytext_pointer" >&5
5304 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_prog_lex_yytext_pointer" >&5
49455305 $as_echo "$ac_cv_prog_lex_yytext_pointer" >&6; }
49465306 if test $ac_cv_prog_lex_yytext_pointer = yes; then
49475307
4948 cat >>confdefs.h <<\_ACEOF
4949 #define YYTEXT_POINTER 1
4950 _ACEOF
5308 $as_echo "#define YYTEXT_POINTER 1" >>confdefs.h
49515309
49525310 fi
49535311 rm -f conftest.l $LEX_OUTPUT_ROOT.c
49565314 if test -n "$ac_tool_prefix"; then
49575315 # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args.
49585316 set dummy ${ac_tool_prefix}ranlib; ac_word=$2
4959 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5317 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
49605318 $as_echo_n "checking for $ac_word... " >&6; }
4961 if test "${ac_cv_prog_RANLIB+set}" = set; then
5319 if ${ac_cv_prog_RANLIB+:} false; then :
49625320 $as_echo_n "(cached) " >&6
49635321 else
49645322 if test -n "$RANLIB"; then
49695327 do
49705328 IFS=$as_save_IFS
49715329 test -z "$as_dir" && as_dir=.
4972 for ac_exec_ext in '' $ac_executable_extensions; do
4973 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5330 for ac_exec_ext in '' $ac_executable_extensions; do
5331 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
49745332 ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib"
4975 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5333 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
49765334 break 2
49775335 fi
49785336 done
4979 done
5337 done
49805338 IFS=$as_save_IFS
49815339
49825340 fi
49835341 fi
49845342 RANLIB=$ac_cv_prog_RANLIB
49855343 if test -n "$RANLIB"; then
4986 { $as_echo "$as_me:$LINENO: result: $RANLIB" >&5
5344 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $RANLIB" >&5
49875345 $as_echo "$RANLIB" >&6; }
49885346 else
4989 { $as_echo "$as_me:$LINENO: result: no" >&5
5347 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
49905348 $as_echo "no" >&6; }
49915349 fi
49925350
49965354 ac_ct_RANLIB=$RANLIB
49975355 # Extract the first word of "ranlib", so it can be a program name with args.
49985356 set dummy ranlib; ac_word=$2
4999 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5357 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
50005358 $as_echo_n "checking for $ac_word... " >&6; }
5001 if test "${ac_cv_prog_ac_ct_RANLIB+set}" = set; then
5359 if ${ac_cv_prog_ac_ct_RANLIB+:} false; then :
50025360 $as_echo_n "(cached) " >&6
50035361 else
50045362 if test -n "$ac_ct_RANLIB"; then
50095367 do
50105368 IFS=$as_save_IFS
50115369 test -z "$as_dir" && as_dir=.
5012 for ac_exec_ext in '' $ac_executable_extensions; do
5013 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5370 for ac_exec_ext in '' $ac_executable_extensions; do
5371 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
50145372 ac_cv_prog_ac_ct_RANLIB="ranlib"
5015 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5373 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
50165374 break 2
50175375 fi
50185376 done
5019 done
5377 done
50205378 IFS=$as_save_IFS
50215379
50225380 fi
50235381 fi
50245382 ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB
50255383 if test -n "$ac_ct_RANLIB"; then
5026 { $as_echo "$as_me:$LINENO: result: $ac_ct_RANLIB" >&5
5384 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_ct_RANLIB" >&5
50275385 $as_echo "$ac_ct_RANLIB" >&6; }
50285386 else
5029 { $as_echo "$as_me:$LINENO: result: no" >&5
5387 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
50305388 $as_echo "no" >&6; }
50315389 fi
50325390
50355393 else
50365394 case $cross_compiling:$ac_tool_warned in
50375395 yes:)
5038 { $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
5396 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
50395397 $as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
50405398 ac_tool_warned=yes ;;
50415399 esac
50455403 RANLIB="$ac_cv_prog_RANLIB"
50465404 fi
50475405
5406 if test "x$CC" != xcc; then
5407 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether $CC and cc understand -c and -o together" >&5
5408 $as_echo_n "checking whether $CC and cc understand -c and -o together... " >&6; }
5409 else
5410 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether cc understands -c and -o together" >&5
5411 $as_echo_n "checking whether cc understands -c and -o together... " >&6; }
5412 fi
5413 set dummy $CC; ac_cc=`$as_echo "$2" |
5414 sed 's/[^a-zA-Z0-9_]/_/g;s/^[0-9]/_/'`
5415 if eval \${ac_cv_prog_cc_${ac_cc}_c_o+:} false; then :
5416 $as_echo_n "(cached) " >&6
5417 else
5418 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
5419 /* end confdefs.h. */
5420
5421 int
5422 main ()
5423 {
5424
5425 ;
5426 return 0;
5427 }
5428 _ACEOF
5429 # Make sure it works both with $CC and with simple cc.
5430 # We do the test twice because some compilers refuse to overwrite an
5431 # existing .o file with -o, though they will create one.
5432 ac_try='$CC -c conftest.$ac_ext -o conftest2.$ac_objext >&5'
5433 rm -f conftest2.*
5434 if { { case "(($ac_try" in
5435 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5436 *) ac_try_echo=$ac_try;;
5437 esac
5438 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
5439 $as_echo "$ac_try_echo"; } >&5
5440 (eval "$ac_try") 2>&5
5441 ac_status=$?
5442 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
5443 test $ac_status = 0; } &&
5444 test -f conftest2.$ac_objext && { { case "(($ac_try" in
5445 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5446 *) ac_try_echo=$ac_try;;
5447 esac
5448 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
5449 $as_echo "$ac_try_echo"; } >&5
5450 (eval "$ac_try") 2>&5
5451 ac_status=$?
5452 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
5453 test $ac_status = 0; };
5454 then
5455 eval ac_cv_prog_cc_${ac_cc}_c_o=yes
5456 if test "x$CC" != xcc; then
5457 # Test first that cc exists at all.
5458 if { ac_try='cc -c conftest.$ac_ext >&5'
5459 { { case "(($ac_try" in
5460 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5461 *) ac_try_echo=$ac_try;;
5462 esac
5463 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
5464 $as_echo "$ac_try_echo"; } >&5
5465 (eval "$ac_try") 2>&5
5466 ac_status=$?
5467 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
5468 test $ac_status = 0; }; }; then
5469 ac_try='cc -c conftest.$ac_ext -o conftest2.$ac_objext >&5'
5470 rm -f conftest2.*
5471 if { { case "(($ac_try" in
5472 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5473 *) ac_try_echo=$ac_try;;
5474 esac
5475 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
5476 $as_echo "$ac_try_echo"; } >&5
5477 (eval "$ac_try") 2>&5
5478 ac_status=$?
5479 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
5480 test $ac_status = 0; } &&
5481 test -f conftest2.$ac_objext && { { case "(($ac_try" in
5482 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5483 *) ac_try_echo=$ac_try;;
5484 esac
5485 eval ac_try_echo="\"\$as_me:${as_lineno-$LINENO}: $ac_try_echo\""
5486 $as_echo "$ac_try_echo"; } >&5
5487 (eval "$ac_try") 2>&5
5488 ac_status=$?
5489 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
5490 test $ac_status = 0; };
5491 then
5492 # cc works too.
5493 :
5494 else
5495 # cc exists but doesn't like -o.
5496 eval ac_cv_prog_cc_${ac_cc}_c_o=no
5497 fi
5498 fi
5499 fi
5500 else
5501 eval ac_cv_prog_cc_${ac_cc}_c_o=no
5502 fi
5503 rm -f core conftest*
5504
5505 fi
5506 if eval test \$ac_cv_prog_cc_${ac_cc}_c_o = yes; then
5507 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
5508 $as_echo "yes" >&6; }
5509 else
5510 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
5511 $as_echo "no" >&6; }
5512
5513 $as_echo "#define NO_MINUS_C_MINUS_O 1" >>confdefs.h
5514
5515 fi
5516
5517 # FIXME: we rely on the cache variable name because
5518 # there is no other way.
5519 set dummy $CC
5520 am_cc=`echo $2 | sed 's/[^a-zA-Z0-9_]/_/g;s/^[0-9]/_/'`
5521 eval am_t=\$ac_cv_prog_cc_${am_cc}_c_o
5522 if test "$am_t" != yes; then
5523 # Losing compiler, so override with the script.
5524 # FIXME: It is wrong to rewrite CC.
5525 # But if we don't then we get into trouble of one sort or another.
5526 # A longer-term fix would be to have automake use am__CC in this case,
5527 # and then we could set am__CC="\$(top_srcdir)/compile \$(CC)"
5528 CC="$am_aux_dir/compile $CC"
5529 fi
5530
5531
50485532
50495533 #
50505534 # only needed if user wishes to process various files with an external reader
50515535 #
50525536 # Extract the first word of "fsdbdebug", so it can be a program name with args.
50535537 set dummy fsdbdebug; ac_word=$2
5054 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5538 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
50555539 $as_echo_n "checking for $ac_word... " >&6; }
5056 if test "${ac_cv_path_EXTDEBUG+set}" = set; then
5540 if ${ac_cv_path_EXTDEBUG+:} false; then :
50575541 $as_echo_n "(cached) " >&6
50585542 else
50595543 case $EXTDEBUG in
50665550 do
50675551 IFS=$as_save_IFS
50685552 test -z "$as_dir" && as_dir=.
5069 for ac_exec_ext in '' $ac_executable_extensions; do
5070 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5553 for ac_exec_ext in '' $ac_executable_extensions; do
5554 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
50715555 ac_cv_path_EXTDEBUG="$as_dir/$ac_word$ac_exec_ext"
5072 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5556 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
50735557 break 2
50745558 fi
50755559 done
5076 done
5560 done
50775561 IFS=$as_save_IFS
50785562
50795563 test -z "$ac_cv_path_EXTDEBUG" && ac_cv_path_EXTDEBUG="notfound"
50825566 fi
50835567 EXTDEBUG=$ac_cv_path_EXTDEBUG
50845568 if test -n "$EXTDEBUG"; then
5085 { $as_echo "$as_me:$LINENO: result: $EXTDEBUG" >&5
5569 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $EXTDEBUG" >&5
50865570 $as_echo "$EXTDEBUG" >&6; }
50875571 else
5088 { $as_echo "$as_me:$LINENO: result: no" >&5
5572 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
50895573 $as_echo "no" >&6; }
50905574 fi
50915575
51005584
51015585 # Extract the first word of "fsdb2vcd", so it can be a program name with args.
51025586 set dummy fsdb2vcd; ac_word=$2
5103 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5587 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
51045588 $as_echo_n "checking for $ac_word... " >&6; }
5105 if test "${ac_cv_path_EXTDEBUG2+set}" = set; then
5589 if ${ac_cv_path_EXTDEBUG2+:} false; then :
51065590 $as_echo_n "(cached) " >&6
51075591 else
51085592 case $EXTDEBUG2 in
51155599 do
51165600 IFS=$as_save_IFS
51175601 test -z "$as_dir" && as_dir=.
5118 for ac_exec_ext in '' $ac_executable_extensions; do
5119 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5602 for ac_exec_ext in '' $ac_executable_extensions; do
5603 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
51205604 ac_cv_path_EXTDEBUG2="$as_dir/$ac_word$ac_exec_ext"
5121 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5605 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
51225606 break 2
51235607 fi
51245608 done
5125 done
5609 done
51265610 IFS=$as_save_IFS
51275611
51285612 test -z "$ac_cv_path_EXTDEBUG2" && ac_cv_path_EXTDEBUG2="notfound"
51315615 fi
51325616 EXTDEBUG2=$ac_cv_path_EXTDEBUG2
51335617 if test -n "$EXTDEBUG2"; then
5134 { $as_echo "$as_me:$LINENO: result: $EXTDEBUG2" >&5
5618 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $EXTDEBUG2" >&5
51355619 $as_echo "$EXTDEBUG2" >&6; }
51365620 else
5137 { $as_echo "$as_me:$LINENO: result: no" >&5
5621 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
51385622 $as_echo "no" >&6; }
51395623 fi
51405624
51475631
51485632 # Extract the first word of "vpd2vcd", so it can be a program name with args.
51495633 set dummy vpd2vcd; ac_word=$2
5150 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5634 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
51515635 $as_echo_n "checking for $ac_word... " >&6; }
5152 if test "${ac_cv_path_EXTDEBUG3+set}" = set; then
5636 if ${ac_cv_path_EXTDEBUG3+:} false; then :
51535637 $as_echo_n "(cached) " >&6
51545638 else
51555639 case $EXTDEBUG3 in
51625646 do
51635647 IFS=$as_save_IFS
51645648 test -z "$as_dir" && as_dir=.
5165 for ac_exec_ext in '' $ac_executable_extensions; do
5166 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5649 for ac_exec_ext in '' $ac_executable_extensions; do
5650 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
51675651 ac_cv_path_EXTDEBUG3="$as_dir/$ac_word$ac_exec_ext"
5168 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5652 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
51695653 break 2
51705654 fi
51715655 done
5172 done
5656 done
51735657 IFS=$as_save_IFS
51745658
51755659 test -z "$ac_cv_path_EXTDEBUG3" && ac_cv_path_EXTDEBUG3="notfound"
51785662 fi
51795663 EXTDEBUG3=$ac_cv_path_EXTDEBUG3
51805664 if test -n "$EXTDEBUG3"; then
5181 { $as_echo "$as_me:$LINENO: result: $EXTDEBUG3" >&5
5665 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $EXTDEBUG3" >&5
51825666 $as_echo "$EXTDEBUG3" >&6; }
51835667 else
5184 { $as_echo "$as_me:$LINENO: result: no" >&5
5668 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
51855669 $as_echo "no" >&6; }
51865670 fi
51875671
51985682 #
51995683 # Extract the first word of "gperf", so it can be a program name with args.
52005684 set dummy gperf; ac_word=$2
5201 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
5685 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
52025686 $as_echo_n "checking for $ac_word... " >&6; }
5203 if test "${ac_cv_path_GPERF+set}" = set; then
5687 if ${ac_cv_path_GPERF+:} false; then :
52045688 $as_echo_n "(cached) " >&6
52055689 else
52065690 case $GPERF in
52135697 do
52145698 IFS=$as_save_IFS
52155699 test -z "$as_dir" && as_dir=.
5216 for ac_exec_ext in '' $ac_executable_extensions; do
5217 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
5700 for ac_exec_ext in '' $ac_executable_extensions; do
5701 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
52185702 ac_cv_path_GPERF="$as_dir/$ac_word$ac_exec_ext"
5219 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
5703 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
52205704 break 2
52215705 fi
52225706 done
5223 done
5707 done
52245708 IFS=$as_save_IFS
52255709
52265710 test -z "$ac_cv_path_GPERF" && ac_cv_path_GPERF="notfound"
52295713 fi
52305714 GPERF=$ac_cv_path_GPERF
52315715 if test -n "$GPERF"; then
5232 { $as_echo "$as_me:$LINENO: result: $GPERF" >&5
5716 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $GPERF" >&5
52335717 $as_echo "$GPERF" >&6; }
52345718 else
5235 { $as_echo "$as_me:$LINENO: result: no" >&5
5719 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
52365720 $as_echo "no" >&6; }
52375721 fi
52385722
52395723
52405724 if test "$GPERF" = "notfound" ; then
5241 { { $as_echo "$as_me:$LINENO: error: Couldn't find a usable gperf program.
5725 as_fn_error $? "Couldn't find a usable gperf program.
52425726 Please install gperf which is available from
52435727 ftp://ftp.gnu.org/pub/gnu/gperf/
5244 " >&5
5245 $as_echo "$as_me: error: Couldn't find a usable gperf program.
5246 Please install gperf which is available from
5247 ftp://ftp.gnu.org/pub/gnu/gperf/
5248 " >&2;}
5249 { (exit 1); exit 1; }; }
5728 " "$LINENO" 5
52505729 fi
52515730
52525731 # Checks for libraries.
5253
5254 { $as_echo "$as_me:$LINENO: checking for dlopen in -ldl" >&5
5732 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for dlopen in -ldl" >&5
52555733 $as_echo_n "checking for dlopen in -ldl... " >&6; }
5256 if test "${ac_cv_lib_dl_dlopen+set}" = set; then
5734 if ${ac_cv_lib_dl_dlopen+:} false; then :
52575735 $as_echo_n "(cached) " >&6
52585736 else
52595737 ac_check_lib_save_LIBS=$LIBS
52605738 LIBS="-ldl $LIBS"
5261 cat >conftest.$ac_ext <<_ACEOF
5262 /* confdefs.h. */
5263 _ACEOF
5264 cat confdefs.h >>conftest.$ac_ext
5265 cat >>conftest.$ac_ext <<_ACEOF
5739 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
52665740 /* end confdefs.h. */
52675741
52685742 /* Override any GCC internal prototype to avoid an error.
52805754 return 0;
52815755 }
52825756 _ACEOF
5283 rm -f conftest.$ac_objext conftest$ac_exeext
5284 if { (ac_try="$ac_link"
5285 case "(($ac_try" in
5286 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5287 *) ac_try_echo=$ac_try;;
5288 esac
5289 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5290 $as_echo "$ac_try_echo") >&5
5291 (eval "$ac_link") 2>conftest.er1
5292 ac_status=$?
5293 grep -v '^ *+' conftest.er1 >conftest.err
5294 rm -f conftest.er1
5295 cat conftest.err >&5
5296 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5297 (exit $ac_status); } && {
5298 test -z "$ac_c_werror_flag" ||
5299 test ! -s conftest.err
5300 } && test -s conftest$ac_exeext && {
5301 test "$cross_compiling" = yes ||
5302 $as_test_x conftest$ac_exeext
5303 }; then
5757 if ac_fn_c_try_link "$LINENO"; then :
53045758 ac_cv_lib_dl_dlopen=yes
53055759 else
5306 $as_echo "$as_me: failed program was:" >&5
5307 sed 's/^/| /' conftest.$ac_ext >&5
5308
5309 ac_cv_lib_dl_dlopen=no
5310 fi
5311
5312 rm -rf conftest.dSYM
5313 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
5314 conftest$ac_exeext conftest.$ac_ext
5760 ac_cv_lib_dl_dlopen=no
5761 fi
5762 rm -f core conftest.err conftest.$ac_objext \
5763 conftest$ac_exeext conftest.$ac_ext
53155764 LIBS=$ac_check_lib_save_LIBS
53165765 fi
5317 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dl_dlopen" >&5
5766 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_dl_dlopen" >&5
53185767 $as_echo "$ac_cv_lib_dl_dlopen" >&6; }
5319 if test "x$ac_cv_lib_dl_dlopen" = x""yes; then
5768 if test "x$ac_cv_lib_dl_dlopen" = xyes; then :
53205769 cat >>confdefs.h <<_ACEOF
53215770 #define HAVE_LIBDL 1
53225771 _ACEOF
53255774
53265775 fi
53275776
5328
5329 { $as_echo "$as_me:$LINENO: checking for sqrt in -lm" >&5
5777 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for sqrt in -lm" >&5
53305778 $as_echo_n "checking for sqrt in -lm... " >&6; }
5331 if test "${ac_cv_lib_m_sqrt+set}" = set; then
5779 if ${ac_cv_lib_m_sqrt+:} false; then :
53325780 $as_echo_n "(cached) " >&6
53335781 else
53345782 ac_check_lib_save_LIBS=$LIBS
53355783 LIBS="-lm $LIBS"
5336 cat >conftest.$ac_ext <<_ACEOF
5337 /* confdefs.h. */
5338 _ACEOF
5339 cat confdefs.h >>conftest.$ac_ext
5340 cat >>conftest.$ac_ext <<_ACEOF
5784 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
53415785 /* end confdefs.h. */
53425786
53435787 /* Override any GCC internal prototype to avoid an error.
53555799 return 0;
53565800 }
53575801 _ACEOF
5358 rm -f conftest.$ac_objext conftest$ac_exeext
5359 if { (ac_try="$ac_link"
5360 case "(($ac_try" in
5361 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5362 *) ac_try_echo=$ac_try;;
5363 esac
5364 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5365 $as_echo "$ac_try_echo") >&5
5366 (eval "$ac_link") 2>conftest.er1
5367 ac_status=$?
5368 grep -v '^ *+' conftest.er1 >conftest.err
5369 rm -f conftest.er1
5370 cat conftest.err >&5
5371 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5372 (exit $ac_status); } && {
5373 test -z "$ac_c_werror_flag" ||
5374 test ! -s conftest.err
5375 } && test -s conftest$ac_exeext && {
5376 test "$cross_compiling" = yes ||
5377 $as_test_x conftest$ac_exeext
5378 }; then
5802 if ac_fn_c_try_link "$LINENO"; then :
53795803 ac_cv_lib_m_sqrt=yes
53805804 else
5381 $as_echo "$as_me: failed program was:" >&5
5382 sed 's/^/| /' conftest.$ac_ext >&5
5383
5384 ac_cv_lib_m_sqrt=no
5385 fi
5386
5387 rm -rf conftest.dSYM
5388 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
5389 conftest$ac_exeext conftest.$ac_ext
5805 ac_cv_lib_m_sqrt=no
5806 fi
5807 rm -f core conftest.err conftest.$ac_objext \
5808 conftest$ac_exeext conftest.$ac_ext
53905809 LIBS=$ac_check_lib_save_LIBS
53915810 fi
5392 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_sqrt" >&5
5811 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_m_sqrt" >&5
53935812 $as_echo "$ac_cv_lib_m_sqrt" >&6; }
5394 if test "x$ac_cv_lib_m_sqrt" = x""yes; then
5813 if test "x$ac_cv_lib_m_sqrt" = xyes; then :
53955814 cat >>confdefs.h <<_ACEOF
53965815 #define HAVE_LIBM 1
53975816 _ACEOF
54005819
54015820 fi
54025821
5403
5404 { $as_echo "$as_me:$LINENO: checking for main in -lpthread" >&5
5822 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for main in -lpthread" >&5
54055823 $as_echo_n "checking for main in -lpthread... " >&6; }
5406 if test "${ac_cv_lib_pthread_main+set}" = set; then
5824 if ${ac_cv_lib_pthread_main+:} false; then :
54075825 $as_echo_n "(cached) " >&6
54085826 else
54095827 ac_check_lib_save_LIBS=$LIBS
54105828 LIBS="-lpthread $LIBS"
5411 cat >conftest.$ac_ext <<_ACEOF
5412 /* confdefs.h. */
5413 _ACEOF
5414 cat confdefs.h >>conftest.$ac_ext
5415 cat >>conftest.$ac_ext <<_ACEOF
5829 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
54165830 /* end confdefs.h. */
54175831
54185832
54245838 return 0;
54255839 }
54265840 _ACEOF
5427 rm -f conftest.$ac_objext conftest$ac_exeext
5428 if { (ac_try="$ac_link"
5429 case "(($ac_try" in
5430 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5431 *) ac_try_echo=$ac_try;;
5432 esac
5433 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5434 $as_echo "$ac_try_echo") >&5
5435 (eval "$ac_link") 2>conftest.er1
5436 ac_status=$?
5437 grep -v '^ *+' conftest.er1 >conftest.err
5438 rm -f conftest.er1
5439 cat conftest.err >&5
5440 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5441 (exit $ac_status); } && {
5442 test -z "$ac_c_werror_flag" ||
5443 test ! -s conftest.err
5444 } && test -s conftest$ac_exeext && {
5445 test "$cross_compiling" = yes ||
5446 $as_test_x conftest$ac_exeext
5447 }; then
5841 if ac_fn_c_try_link "$LINENO"; then :
54485842 ac_cv_lib_pthread_main=yes
54495843 else
5450 $as_echo "$as_me: failed program was:" >&5
5451 sed 's/^/| /' conftest.$ac_ext >&5
5452
5453 ac_cv_lib_pthread_main=no
5454 fi
5455
5456 rm -rf conftest.dSYM
5457 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
5458 conftest$ac_exeext conftest.$ac_ext
5844 ac_cv_lib_pthread_main=no
5845 fi
5846 rm -f core conftest.err conftest.$ac_objext \
5847 conftest$ac_exeext conftest.$ac_ext
54595848 LIBS=$ac_check_lib_save_LIBS
54605849 fi
5461 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_pthread_main" >&5
5850 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_pthread_main" >&5
54625851 $as_echo "$ac_cv_lib_pthread_main" >&6; }
5463 if test "x$ac_cv_lib_pthread_main" = x""yes; then
5852 if test "x$ac_cv_lib_pthread_main" = xyes; then :
54645853 cat >>confdefs.h <<_ACEOF
54655854 #define HAVE_LIBPTHREAD 1
54665855 _ACEOF
54775866 # and --disable-local-libbz2 can be used to force the use of system
54785867 # libs
54795868 # Check whether --enable-local-libz was given.
5480 if test "${enable_local_libz+set}" = set; then
5869 if test "${enable_local_libz+set}" = set; then :
54815870 enableval=$enable_local_libz;
54825871 if test "X$enable_local_libz" = "Xno" ; then
54835872 force_system_libz=yes
54925881 LIBZ_LDADD=
54935882 LIBZ_CFLAGS=
54945883 if test "X$force_bundled_libz" != "Xyes" ; then
5495 cat >conftest.$ac_ext <<_ACEOF
5496 /* confdefs.h. */
5497 _ACEOF
5498 cat confdefs.h >>conftest.$ac_ext
5499 cat >>conftest.$ac_ext <<_ACEOF
5884 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
55005885 /* end confdefs.h. */
55015886 #include <zlib.h>
55025887 int
55075892 return 0;
55085893 }
55095894 _ACEOF
5510 rm -f conftest.$ac_objext
5511 if { (ac_try="$ac_compile"
5512 case "(($ac_try" in
5513 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5514 *) ac_try_echo=$ac_try;;
5515 esac
5516 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5517 $as_echo "$ac_try_echo") >&5
5518 (eval "$ac_compile") 2>conftest.er1
5519 ac_status=$?
5520 grep -v '^ *+' conftest.er1 >conftest.err
5521 rm -f conftest.er1
5522 cat conftest.err >&5
5523 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5524 (exit $ac_status); } && {
5525 test -z "$ac_c_werror_flag" ||
5526 test ! -s conftest.err
5527 } && test -s conftest.$ac_objext; then
5528 :
5529 else
5530 $as_echo "$as_me: failed program was:" >&5
5531 sed 's/^/| /' conftest.$ac_ext >&5
5532
5533 need_libz=yes
5534 fi
5535
5895 if ac_fn_c_try_compile "$LINENO"; then :
5896
5897 else
5898 need_libz=yes
5899 fi
55365900 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
55375901 if test "$need_libz" = "yes" -a "X$force_system_libz" = "Xyes" ; then
5538 { { $as_echo "$as_me:$LINENO: error:
5902 as_fn_error $? "
55395903 You have disabled building the bundled libz but no system libz headers
55405904 could be found. Either allow building the bundled libz (by not using
5541 --disable-local-libz) or make sure your system has a libz installed" >&5
5542 $as_echo "$as_me: error:
5543 You have disabled building the bundled libz but no system libz headers
5544 could be found. Either allow building the bundled libz (by not using
5545 --disable-local-libz) or make sure your system has a libz installed" >&2;}
5546 { (exit 1); exit 1; }; }
5905 --disable-local-libz) or make sure your system has a libz installed" "$LINENO" 5
55475906 fi
5548 { $as_echo "$as_me:$LINENO: checking for gzread in -lz" >&5
5907 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for gzread in -lz" >&5
55495908 $as_echo_n "checking for gzread in -lz... " >&6; }
5550 if test "${ac_cv_lib_z_gzread+set}" = set; then
5909 if ${ac_cv_lib_z_gzread+:} false; then :
55515910 $as_echo_n "(cached) " >&6
55525911 else
55535912 ac_check_lib_save_LIBS=$LIBS
55545913 LIBS="-lz $LIBS"
5555 cat >conftest.$ac_ext <<_ACEOF
5556 /* confdefs.h. */
5557 _ACEOF
5558 cat confdefs.h >>conftest.$ac_ext
5559 cat >>conftest.$ac_ext <<_ACEOF
5914 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
55605915 /* end confdefs.h. */
55615916
55625917 /* Override any GCC internal prototype to avoid an error.
55745929 return 0;
55755930 }
55765931 _ACEOF
5577 rm -f conftest.$ac_objext conftest$ac_exeext
5578 if { (ac_try="$ac_link"
5579 case "(($ac_try" in
5580 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5581 *) ac_try_echo=$ac_try;;
5582 esac
5583 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5584 $as_echo "$ac_try_echo") >&5
5585 (eval "$ac_link") 2>conftest.er1
5586 ac_status=$?
5587 grep -v '^ *+' conftest.er1 >conftest.err
5588 rm -f conftest.er1
5589 cat conftest.err >&5
5590 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5591 (exit $ac_status); } && {
5592 test -z "$ac_c_werror_flag" ||
5593 test ! -s conftest.err
5594 } && test -s conftest$ac_exeext && {
5595 test "$cross_compiling" = yes ||
5596 $as_test_x conftest$ac_exeext
5597 }; then
5932 if ac_fn_c_try_link "$LINENO"; then :
55985933 ac_cv_lib_z_gzread=yes
55995934 else
5600 $as_echo "$as_me: failed program was:" >&5
5601 sed 's/^/| /' conftest.$ac_ext >&5
5602
5603 ac_cv_lib_z_gzread=no
5604 fi
5605
5606 rm -rf conftest.dSYM
5607 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
5608 conftest$ac_exeext conftest.$ac_ext
5935 ac_cv_lib_z_gzread=no
5936 fi
5937 rm -f core conftest.err conftest.$ac_objext \
5938 conftest$ac_exeext conftest.$ac_ext
56095939 LIBS=$ac_check_lib_save_LIBS
56105940 fi
5611 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_z_gzread" >&5
5941 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_z_gzread" >&5
56125942 $as_echo "$ac_cv_lib_z_gzread" >&6; }
5613 if test "x$ac_cv_lib_z_gzread" = x""yes; then
5943 if test "x$ac_cv_lib_z_gzread" = xyes; then :
56145944 LIBZ_LDADD=-lz
56155945 else
56165946 need_libz=yes
56175947 fi
56185948
56195949 if test "$need_libz" = "yes" -a "X$force_system_libz" = "Xyes" ; then
5620 { { $as_echo "$as_me:$LINENO: error:
5950 as_fn_error $? "
56215951 You have disabled building the bundled libz but no system libz could
56225952 be found. Either allow building the bundled libz (by not using
5623 --disable-local-libz) or make sure your system has a libz installed" >&5
5624 $as_echo "$as_me: error:
5625 You have disabled building the bundled libz but no system libz could
5626 be found. Either allow building the bundled libz (by not using
5627 --disable-local-libz) or make sure your system has a libz installed" >&2;}
5628 { (exit 1); exit 1; }; }
5953 --disable-local-libz) or make sure your system has a libz installed" "$LINENO" 5
56295954 fi
56305955 else
56315956 need_libz=yes
56325957 fi
56335958
56345959 # Check whether --enable-local-libbz2 was given.
5635 if test "${enable_local_libbz2+set}" = set; then
5960 if test "${enable_local_libbz2+set}" = set; then :
56365961 enableval=$enable_local_libbz2;
56375962 if test "X$enable_local_libbz2" = "Xno" ; then
56385963 force_system_libbz2=yes
56475972 LIBBZ2_LDADD=
56485973 LIBBZ2_CFLAGS=
56495974 if test "X$force_bundled_libbz2" != "Xyes" ; then
5650 cat >conftest.$ac_ext <<_ACEOF
5651 /* confdefs.h. */
5652 _ACEOF
5653 cat confdefs.h >>conftest.$ac_ext
5654 cat >>conftest.$ac_ext <<_ACEOF
5975 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
56555976 /* end confdefs.h. */
56565977 #include <bzlib.h>
56575978 int
56625983 return 0;
56635984 }
56645985 _ACEOF
5665 rm -f conftest.$ac_objext
5666 if { (ac_try="$ac_compile"
5667 case "(($ac_try" in
5668 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5669 *) ac_try_echo=$ac_try;;
5670 esac
5671 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5672 $as_echo "$ac_try_echo") >&5
5673 (eval "$ac_compile") 2>conftest.er1
5674 ac_status=$?
5675 grep -v '^ *+' conftest.er1 >conftest.err
5676 rm -f conftest.er1
5677 cat conftest.err >&5
5678 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5679 (exit $ac_status); } && {
5680 test -z "$ac_c_werror_flag" ||
5681 test ! -s conftest.err
5682 } && test -s conftest.$ac_objext; then
5683 :
5684 else
5685 $as_echo "$as_me: failed program was:" >&5
5686 sed 's/^/| /' conftest.$ac_ext >&5
5687
5688 need_libbz2=yes
5689 fi
5690
5986 if ac_fn_c_try_compile "$LINENO"; then :
5987
5988 else
5989 need_libbz2=yes
5990 fi
56915991 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
56925992 if test "$need_libbz2" = "yes" -a "X$force_system_libbz2" = "Xyes" ; then
5693 { { $as_echo "$as_me:$LINENO: error:
5993 as_fn_error $? "
56945994 You have disabled building the bundled libbz2 but no system libbz2 headers
56955995 could be found. Either allow building the bundled libbz2 (by not using
5696 --disable-local-libbz2) or make sure your system has a libbz2 installed" >&5
5697 $as_echo "$as_me: error:
5698 You have disabled building the bundled libbz2 but no system libbz2 headers
5699 could be found. Either allow building the bundled libbz2 (by not using
5700 --disable-local-libbz2) or make sure your system has a libbz2 installed" >&2;}
5701 { (exit 1); exit 1; }; }
5996 --disable-local-libbz2) or make sure your system has a libbz2 installed" "$LINENO" 5
57025997 fi
5703 { $as_echo "$as_me:$LINENO: checking for BZ2_bzread in -lbz2" >&5
5998 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for BZ2_bzread in -lbz2" >&5
57045999 $as_echo_n "checking for BZ2_bzread in -lbz2... " >&6; }
5705 if test "${ac_cv_lib_bz2_BZ2_bzread+set}" = set; then
6000 if ${ac_cv_lib_bz2_BZ2_bzread+:} false; then :
57066001 $as_echo_n "(cached) " >&6
57076002 else
57086003 ac_check_lib_save_LIBS=$LIBS
57096004 LIBS="-lbz2 $LIBS"
5710 cat >conftest.$ac_ext <<_ACEOF
5711 /* confdefs.h. */
5712 _ACEOF
5713 cat confdefs.h >>conftest.$ac_ext
5714 cat >>conftest.$ac_ext <<_ACEOF
6005 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
57156006 /* end confdefs.h. */
57166007
57176008 /* Override any GCC internal prototype to avoid an error.
57296020 return 0;
57306021 }
57316022 _ACEOF
5732 rm -f conftest.$ac_objext conftest$ac_exeext
5733 if { (ac_try="$ac_link"
5734 case "(($ac_try" in
5735 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5736 *) ac_try_echo=$ac_try;;
5737 esac
5738 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5739 $as_echo "$ac_try_echo") >&5
5740 (eval "$ac_link") 2>conftest.er1
5741 ac_status=$?
5742 grep -v '^ *+' conftest.er1 >conftest.err
5743 rm -f conftest.er1
5744 cat conftest.err >&5
5745 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5746 (exit $ac_status); } && {
5747 test -z "$ac_c_werror_flag" ||
5748 test ! -s conftest.err
5749 } && test -s conftest$ac_exeext && {
5750 test "$cross_compiling" = yes ||
5751 $as_test_x conftest$ac_exeext
5752 }; then
6023 if ac_fn_c_try_link "$LINENO"; then :
57536024 ac_cv_lib_bz2_BZ2_bzread=yes
57546025 else
5755 $as_echo "$as_me: failed program was:" >&5
5756 sed 's/^/| /' conftest.$ac_ext >&5
5757
5758 ac_cv_lib_bz2_BZ2_bzread=no
5759 fi
5760
5761 rm -rf conftest.dSYM
5762 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
5763 conftest$ac_exeext conftest.$ac_ext
6026 ac_cv_lib_bz2_BZ2_bzread=no
6027 fi
6028 rm -f core conftest.err conftest.$ac_objext \
6029 conftest$ac_exeext conftest.$ac_ext
57646030 LIBS=$ac_check_lib_save_LIBS
57656031 fi
5766 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_bz2_BZ2_bzread" >&5
6032 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_bz2_BZ2_bzread" >&5
57676033 $as_echo "$ac_cv_lib_bz2_BZ2_bzread" >&6; }
5768 if test "x$ac_cv_lib_bz2_BZ2_bzread" = x""yes; then
6034 if test "x$ac_cv_lib_bz2_BZ2_bzread" = xyes; then :
57696035 LIBBZ2_LDADD=-lbz2
57706036 else
57716037 need_libbz2=yes
57726038 fi
57736039
57746040 if test "$need_libbz2" = "yes" -a "X$force_system_libbz2" = "Xyes" ; then
5775 { { $as_echo "$as_me:$LINENO: error:
6041 as_fn_error $? "
57766042 You have disabled building the bundled libbz2 but no system libbz2 could
57776043 be found. Either allow building the bundled libbz2 (by not using
5778 --disable-local-libbz2) or make sure your system has a libbz2 installed" >&5
5779 $as_echo "$as_me: error:
5780 You have disabled building the bundled libbz2 but no system libbz2 could
5781 be found. Either allow building the bundled libbz2 (by not using
5782 --disable-local-libbz2) or make sure your system has a libbz2 installed" >&2;}
5783 { (exit 1); exit 1; }; }
6044 --disable-local-libbz2) or make sure your system has a libbz2 installed" "$LINENO" 5
57846045 fi
57856046 else
57866047 need_libbz2=yes
58086069
58096070 # ------------- LZMA / XZ -------------------
58106071
5811 { $as_echo "$as_me:$LINENO: checking if XZ should be enabled" >&5
6072 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if XZ should be enabled" >&5
58126073 $as_echo_n "checking if XZ should be enabled... " >&6; }
58136074
58146075 EXZ=yes
58156076 # Check whether --enable-xz was given.
5816 if test "${enable_xz+set}" = set; then
6077 if test "${enable_xz+set}" = set; then :
58176078 enableval=$enable_xz;
58186079 if test "X$enable_xz" = "Xno" ; then
58196080 EXZ=no
58216082
58226083 fi
58236084
5824 { $as_echo "$as_me:$LINENO: result: $EXZ" >&5
6085 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $EXZ" >&5
58256086 $as_echo "$EXZ" >&6; }
58266087
58276088 LIBXZ_LDADD=
58286089 LIBXZ_CFLAGS=
58296090 if test "X$EXZ" == "Xyes"; then
58306091 have_liblzma=no
5831 cat >conftest.$ac_ext <<_ACEOF
5832 /* confdefs.h. */
5833 _ACEOF
5834 cat confdefs.h >>conftest.$ac_ext
5835 cat >>conftest.$ac_ext <<_ACEOF
6092 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
58366093 /* end confdefs.h. */
58376094 #include <lzma.h>
58386095 int
58436100 return 0;
58446101 }
58456102 _ACEOF
5846 rm -f conftest.$ac_objext
5847 if { (ac_try="$ac_compile"
5848 case "(($ac_try" in
5849 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5850 *) ac_try_echo=$ac_try;;
5851 esac
5852 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5853 $as_echo "$ac_try_echo") >&5
5854 (eval "$ac_compile") 2>conftest.er1
5855 ac_status=$?
5856 grep -v '^ *+' conftest.er1 >conftest.err
5857 rm -f conftest.er1
5858 cat conftest.err >&5
5859 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5860 (exit $ac_status); } && {
5861 test -z "$ac_c_werror_flag" ||
5862 test ! -s conftest.err
5863 } && test -s conftest.$ac_objext; then
5864 :
5865 else
5866 $as_echo "$as_me: failed program was:" >&5
5867 sed 's/^/| /' conftest.$ac_ext >&5
5868
5869 have_liblzma=yes
5870 fi
5871
6103 if ac_fn_c_try_compile "$LINENO"; then :
6104
6105 else
6106 have_liblzma=yes
6107 fi
58726108 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
5873 { $as_echo "$as_me:$LINENO: checking for lzma_end in -llzma" >&5
6109 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for lzma_end in -llzma" >&5
58746110 $as_echo_n "checking for lzma_end in -llzma... " >&6; }
5875 if test "${ac_cv_lib_lzma_lzma_end+set}" = set; then
6111 if ${ac_cv_lib_lzma_lzma_end+:} false; then :
58766112 $as_echo_n "(cached) " >&6
58776113 else
58786114 ac_check_lib_save_LIBS=$LIBS
58796115 LIBS="-llzma $LIBS"
5880 cat >conftest.$ac_ext <<_ACEOF
5881 /* confdefs.h. */
5882 _ACEOF
5883 cat confdefs.h >>conftest.$ac_ext
5884 cat >>conftest.$ac_ext <<_ACEOF
6116 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
58856117 /* end confdefs.h. */
58866118
58876119 /* Override any GCC internal prototype to avoid an error.
58996131 return 0;
59006132 }
59016133 _ACEOF
5902 rm -f conftest.$ac_objext conftest$ac_exeext
5903 if { (ac_try="$ac_link"
5904 case "(($ac_try" in
5905 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
5906 *) ac_try_echo=$ac_try;;
5907 esac
5908 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
5909 $as_echo "$ac_try_echo") >&5
5910 (eval "$ac_link") 2>conftest.er1
5911 ac_status=$?
5912 grep -v '^ *+' conftest.er1 >conftest.err
5913 rm -f conftest.er1
5914 cat conftest.err >&5
5915 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
5916 (exit $ac_status); } && {
5917 test -z "$ac_c_werror_flag" ||
5918 test ! -s conftest.err
5919 } && test -s conftest$ac_exeext && {
5920 test "$cross_compiling" = yes ||
5921 $as_test_x conftest$ac_exeext
5922 }; then
6134 if ac_fn_c_try_link "$LINENO"; then :
59236135 ac_cv_lib_lzma_lzma_end=yes
59246136 else
5925 $as_echo "$as_me: failed program was:" >&5
5926 sed 's/^/| /' conftest.$ac_ext >&5
5927
5928 ac_cv_lib_lzma_lzma_end=no
5929 fi
5930
5931 rm -rf conftest.dSYM
5932 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
5933 conftest$ac_exeext conftest.$ac_ext
6137 ac_cv_lib_lzma_lzma_end=no
6138 fi
6139 rm -f core conftest.err conftest.$ac_objext \
6140 conftest$ac_exeext conftest.$ac_ext
59346141 LIBS=$ac_check_lib_save_LIBS
59356142 fi
5936 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_lzma_lzma_end" >&5
6143 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lzma_lzma_end" >&5
59376144 $as_echo "$ac_cv_lib_lzma_lzma_end" >&6; }
5938 if test "x$ac_cv_lib_lzma_lzma_end" = x""yes; then
6145 if test "x$ac_cv_lib_lzma_lzma_end" = xyes; then :
59396146 LIBLZMA_LDADD=-llzma
59406147 else
59416148 have_liblzma=yes
59426149 fi
59436150
59446151 if test "$have_liblzma" = "yes" ; then
5945 { { $as_echo "$as_me:$LINENO: error: LZMA support for VZT is enabled, but xz could not be found.
5946 Please install xz, see the http://tukaani.org/xz website or use the --disable-xz flag." >&5
5947 $as_echo "$as_me: error: LZMA support for VZT is enabled, but xz could not be found.
5948 Please install xz, see the http://tukaani.org/xz website or use the --disable-xz flag." >&2;}
5949 { (exit 1); exit 1; }; }
6152 as_fn_error $? "LZMA support for VZT is enabled, but xz could not be found.
6153 Please install xz, see the http://tukaani.org/xz website or use the --disable-xz flag." "$LINENO" 5
59506154 fi
59516155 LIBXZ_LDADD='-llzma'
59526156 LIBXZ_CFLAGS='-D_WAVE_HAVE_XZ'
59566160
59576161 # ------------- Fast Tree -------------------
59586162
5959 { $as_echo "$as_me:$LINENO: checking if Fast SST Tree should be enabled" >&5
6163 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if Fast SST Tree should be enabled" >&5
59606164 $as_echo_n "checking if Fast SST Tree should be enabled... " >&6; }
59616165
59626166 FASTTREE=yes
59636167 # Check whether --enable-fasttree was given.
5964 if test "${enable_fasttree+set}" = set; then
6168 if test "${enable_fasttree+set}" = set; then :
59656169 enableval=$enable_fasttree;
59666170 if test "X$enable_fasttree" = "Xno" ; then
59676171 FASTTREE=no
59696173
59706174 fi
59716175
5972 { $as_echo "$as_me:$LINENO: result: $FASTTREE" >&5
6176 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $FASTTREE" >&5
59736177 $as_echo "$FASTTREE" >&6; }
59746178
59756179 FASTTREE_CFLAGS=
59806184
59816185 # ------------- Judy -------------------
59826186
5983 { $as_echo "$as_me:$LINENO: checking if Judy array support should be enabled" >&5
6187 { $as_echo "$as_me:${as_lineno-$LINENO}: checking if Judy array support should be enabled" >&5
59846188 $as_echo_n "checking if Judy array support should be enabled... " >&6; }
59856189
59866190 EJUDY=no
59876191 # Check whether --enable-judy was given.
5988 if test "${enable_judy+set}" = set; then
6192 if test "${enable_judy+set}" = set; then :
59896193 enableval=$enable_judy;
59906194 if test "X$enable_judy" = "Xno" ; then
59916195 EJUDY=no
59956199
59966200 fi
59976201
5998 { $as_echo "$as_me:$LINENO: result: $EJUDY" >&5
6202 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $EJUDY" >&5
59996203 $as_echo "$EJUDY" >&6; }
60006204
60016205 LIBJUDY_LDADD=
60026206 LIBJUDY_CFLAGS=
60036207 if test "X$EJUDY" == "Xyes"; then
60046208 have_libjudy=no
6005 cat >conftest.$ac_ext <<_ACEOF
6006 /* confdefs.h. */
6007 _ACEOF
6008 cat confdefs.h >>conftest.$ac_ext
6009 cat >>conftest.$ac_ext <<_ACEOF
6209 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
60106210 /* end confdefs.h. */
60116211 #include <Judy.h>
60126212 int
60176217 return 0;
60186218 }
60196219 _ACEOF
6020 rm -f conftest.$ac_objext
6021 if { (ac_try="$ac_compile"
6022 case "(($ac_try" in
6023 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
6024 *) ac_try_echo=$ac_try;;
6025 esac
6026 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
6027 $as_echo "$ac_try_echo") >&5
6028 (eval "$ac_compile") 2>conftest.er1
6029 ac_status=$?
6030 grep -v '^ *+' conftest.er1 >conftest.err
6031 rm -f conftest.er1
6032 cat conftest.err >&5
6033 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6034 (exit $ac_status); } && {
6035 test -z "$ac_c_werror_flag" ||
6036 test ! -s conftest.err
6037 } && test -s conftest.$ac_objext; then
6038 :
6039 else
6040 $as_echo "$as_me: failed program was:" >&5
6041 sed 's/^/| /' conftest.$ac_ext >&5
6042
6043 have_libjudy=yes
6044 fi
6045
6220 if ac_fn_c_try_compile "$LINENO"; then :
6221
6222 else
6223 have_libjudy=yes
6224 fi
60466225 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
6047 { $as_echo "$as_me:$LINENO: checking for JudySLIns in -lJudy" >&5
6226 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for JudySLIns in -lJudy" >&5
60486227 $as_echo_n "checking for JudySLIns in -lJudy... " >&6; }
6049 if test "${ac_cv_lib_Judy_JudySLIns+set}" = set; then
6228 if ${ac_cv_lib_Judy_JudySLIns+:} false; then :
60506229 $as_echo_n "(cached) " >&6
60516230 else
60526231 ac_check_lib_save_LIBS=$LIBS
60536232 LIBS="-lJudy $LIBS"
6054 cat >conftest.$ac_ext <<_ACEOF
6055 /* confdefs.h. */
6056 _ACEOF
6057 cat confdefs.h >>conftest.$ac_ext
6058 cat >>conftest.$ac_ext <<_ACEOF
6233 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
60596234 /* end confdefs.h. */
60606235
60616236 /* Override any GCC internal prototype to avoid an error.
60736248 return 0;
60746249 }
60756250 _ACEOF
6076 rm -f conftest.$ac_objext conftest$ac_exeext
6077 if { (ac_try="$ac_link"
6078 case "(($ac_try" in
6079 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
6080 *) ac_try_echo=$ac_try;;
6081 esac
6082 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
6083 $as_echo "$ac_try_echo") >&5
6084 (eval "$ac_link") 2>conftest.er1
6085 ac_status=$?
6086 grep -v '^ *+' conftest.er1 >conftest.err
6087 rm -f conftest.er1
6088 cat conftest.err >&5
6089 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6090 (exit $ac_status); } && {
6091 test -z "$ac_c_werror_flag" ||
6092 test ! -s conftest.err
6093 } && test -s conftest$ac_exeext && {
6094 test "$cross_compiling" = yes ||
6095 $as_test_x conftest$ac_exeext
6096 }; then
6251 if ac_fn_c_try_link "$LINENO"; then :
60976252 ac_cv_lib_Judy_JudySLIns=yes
60986253 else
6099 $as_echo "$as_me: failed program was:" >&5
6100 sed 's/^/| /' conftest.$ac_ext >&5
6101
6102 ac_cv_lib_Judy_JudySLIns=no
6103 fi
6104
6105 rm -rf conftest.dSYM
6106 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
6107 conftest$ac_exeext conftest.$ac_ext
6254 ac_cv_lib_Judy_JudySLIns=no
6255 fi
6256 rm -f core conftest.err conftest.$ac_objext \
6257 conftest$ac_exeext conftest.$ac_ext
61086258 LIBS=$ac_check_lib_save_LIBS
61096259 fi
6110 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_Judy_JudySLIns" >&5
6260 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_Judy_JudySLIns" >&5
61116261 $as_echo "$ac_cv_lib_Judy_JudySLIns" >&6; }
6112 if test "x$ac_cv_lib_Judy_JudySLIns" = x""yes; then
6262 if test "x$ac_cv_lib_Judy_JudySLIns" = xyes; then :
61136263 LIBJUDY_LDADD=-lJudy
61146264 else
61156265 have_libjudy=yes
61166266 fi
61176267
61186268 if test "$have_libjudy" = "yes" ; then
6119 { { $as_echo "$as_me:$LINENO: error: Judy support is enabled, but could not be found.
6120 Please install Judy, see the http://judy.sourceforge.net website or use the --disable-judy flag." >&5
6121 $as_echo "$as_me: error: Judy support is enabled, but could not be found.
6122 Please install Judy, see the http://judy.sourceforge.net website or use the --disable-judy flag." >&2;}
6123 { (exit 1); exit 1; }; }
6269 as_fn_error $? "Judy support is enabled, but could not be found.
6270 Please install Judy, see the http://judy.sourceforge.net website or use the --disable-judy flag." "$LINENO" 5
61246271 fi
61256272 LIBJUDY_LDADD='-lJudy'
61266273 LIBJUDY_CFLAGS='-D_WAVE_HAVE_JUDY'
61326279 if test "X$GTK1" = "Xyes" ; then
61336280 # Extract the first word of "gtk-config", so it can be a program name with args.
61346281 set dummy gtk-config; ac_word=$2
6135 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
6282 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
61366283 $as_echo_n "checking for $ac_word... " >&6; }
6137 if test "${ac_cv_path_GTK_CONFIG+set}" = set; then
6284 if ${ac_cv_path_GTK_CONFIG+:} false; then :
61386285 $as_echo_n "(cached) " >&6
61396286 else
61406287 case $GTK_CONFIG in
61476294 do
61486295 IFS=$as_save_IFS
61496296 test -z "$as_dir" && as_dir=.
6150 for ac_exec_ext in '' $ac_executable_extensions; do
6151 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
6297 for ac_exec_ext in '' $ac_executable_extensions; do
6298 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
61526299 ac_cv_path_GTK_CONFIG="$as_dir/$ac_word$ac_exec_ext"
6153 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
6300 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
61546301 break 2
61556302 fi
61566303 done
6157 done
6304 done
61586305 IFS=$as_save_IFS
61596306
61606307 test -z "$ac_cv_path_GTK_CONFIG" && ac_cv_path_GTK_CONFIG="notfound"
61636310 fi
61646311 GTK_CONFIG=$ac_cv_path_GTK_CONFIG
61656312 if test -n "$GTK_CONFIG"; then
6166 { $as_echo "$as_me:$LINENO: result: $GTK_CONFIG" >&5
6313 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $GTK_CONFIG" >&5
61676314 $as_echo "$GTK_CONFIG" >&6; }
61686315 else
6169 { $as_echo "$as_me:$LINENO: result: no" >&5
6316 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
61706317 $as_echo "no" >&6; }
61716318 fi
61726319
61736320
61746321 if test "$GTK_CONFIG" = "notfound"; then
6175 { { $as_echo "$as_me:$LINENO: error: You must have gtk installed on your system" >&5
6176 $as_echo "$as_me: error: You must have gtk installed on your system" >&2;}
6177 { (exit 1); exit 1; }; }
6322 as_fn_error $? "You must have gtk installed on your system" "$LINENO" 5
61786323 else
6179 { $as_echo "$as_me:$LINENO: checking gtk1 version" >&5
6324 { $as_echo "$as_me:${as_lineno-$LINENO}: checking gtk1 version" >&5
61806325 $as_echo_n "checking gtk1 version... " >&6; }
61816326 GTK_VER=`$GTK_CONFIG --version`
6182 { $as_echo "$as_me:$LINENO: result: $GTK_VER" >&5
6327 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $GTK_VER" >&5
61836328 $as_echo "$GTK_VER" >&6; }
61846329
61856330 case $GTK_VER in
61866331 1.2.* )
6187 { $as_echo "$as_me:$LINENO: checking for gtk1 CFLAGS" >&5
6332 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for gtk1 CFLAGS" >&5
61886333 $as_echo_n "checking for gtk1 CFLAGS... " >&6; }
61896334 GTK_CFLAGS=`$GTK_CONFIG --cflags`
6190 { $as_echo "$as_me:$LINENO: result: $GTK_CFLAGS" >&5
6335 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $GTK_CFLAGS" >&5
61916336 $as_echo "$GTK_CFLAGS" >&6; }
6192 { $as_echo "$as_me:$LINENO: checking for gtk1 libs" >&5
6337 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for gtk1 libs" >&5
61936338 $as_echo_n "checking for gtk1 libs... " >&6; }
61946339 GTK_LIBS=`$GTK_CONFIG --libs`
6195 { $as_echo "$as_me:$LINENO: result: $GTK_LIBS" >&5
6340 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $GTK_LIBS" >&5
61966341 $as_echo "$GTK_LIBS" >&6; }
61976342 ;;
61986343
61996344 * )
6200 { { $as_echo "$as_me:$LINENO: error: when building with gtk1, you need version 1.2.*" >&5
6201 $as_echo "$as_me: error: when building with gtk1, you need version 1.2.*" >&2;}
6202 { (exit 1); exit 1; }; }
6345 as_fn_error $? "when building with gtk1, you need version 1.2.*" "$LINENO" 5
62036346 ;;
62046347 esac
62056348 fi
62106353 if test -n "$ac_tool_prefix"; then
62116354 # Extract the first word of "${ac_tool_prefix}pkg-config", so it can be a program name with args.
62126355 set dummy ${ac_tool_prefix}pkg-config; ac_word=$2
6213 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
6356 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
62146357 $as_echo_n "checking for $ac_word... " >&6; }
6215 if test "${ac_cv_path_PKG_CONFIG+set}" = set; then
6358 if ${ac_cv_path_PKG_CONFIG+:} false; then :
62166359 $as_echo_n "(cached) " >&6
62176360 else
62186361 case $PKG_CONFIG in
62256368 do
62266369 IFS=$as_save_IFS
62276370 test -z "$as_dir" && as_dir=.
6228 for ac_exec_ext in '' $ac_executable_extensions; do
6229 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
6371 for ac_exec_ext in '' $ac_executable_extensions; do
6372 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
62306373 ac_cv_path_PKG_CONFIG="$as_dir/$ac_word$ac_exec_ext"
6231 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
6374 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
62326375 break 2
62336376 fi
62346377 done
6235 done
6378 done
62366379 IFS=$as_save_IFS
62376380
62386381 ;;
62406383 fi
62416384 PKG_CONFIG=$ac_cv_path_PKG_CONFIG
62426385 if test -n "$PKG_CONFIG"; then
6243 { $as_echo "$as_me:$LINENO: result: $PKG_CONFIG" >&5
6386 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PKG_CONFIG" >&5
62446387 $as_echo "$PKG_CONFIG" >&6; }
62456388 else
6246 { $as_echo "$as_me:$LINENO: result: no" >&5
6389 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
62476390 $as_echo "no" >&6; }
62486391 fi
62496392
62536396 ac_pt_PKG_CONFIG=$PKG_CONFIG
62546397 # Extract the first word of "pkg-config", so it can be a program name with args.
62556398 set dummy pkg-config; ac_word=$2
6256 { $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
6399 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
62576400 $as_echo_n "checking for $ac_word... " >&6; }
6258 if test "${ac_cv_path_ac_pt_PKG_CONFIG+set}" = set; then
6401 if ${ac_cv_path_ac_pt_PKG_CONFIG+:} false; then :
62596402 $as_echo_n "(cached) " >&6
62606403 else
62616404 case $ac_pt_PKG_CONFIG in
62686411 do
62696412 IFS=$as_save_IFS
62706413 test -z "$as_dir" && as_dir=.
6271 for ac_exec_ext in '' $ac_executable_extensions; do
6272 if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
6414 for ac_exec_ext in '' $ac_executable_extensions; do
6415 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
62736416 ac_cv_path_ac_pt_PKG_CONFIG="$as_dir/$ac_word$ac_exec_ext"
6274 $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
6417 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
62756418 break 2
62766419 fi
62776420 done
6278 done
6421 done
62796422 IFS=$as_save_IFS
62806423
62816424 ;;
62836426 fi
62846427 ac_pt_PKG_CONFIG=$ac_cv_path_ac_pt_PKG_CONFIG
62856428 if test -n "$ac_pt_PKG_CONFIG"; then
6286 { $as_echo "$as_me:$LINENO: result: $ac_pt_PKG_CONFIG" >&5
6429 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_pt_PKG_CONFIG" >&5
62876430 $as_echo "$ac_pt_PKG_CONFIG" >&6; }
62886431 else
6289 { $as_echo "$as_me:$LINENO: result: no" >&5
6432 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
62906433 $as_echo "no" >&6; }
62916434 fi
62926435
62956438 else
62966439 case $cross_compiling:$ac_tool_warned in
62976440 yes:)
6298 { $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
6441 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
62996442 $as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
63006443 ac_tool_warned=yes ;;
63016444 esac
63086451 fi
63096452 if test -n "$PKG_CONFIG"; then
63106453 _pkg_min_version=0.9.0
6311 { $as_echo "$as_me:$LINENO: checking pkg-config is at least version $_pkg_min_version" >&5
6454 { $as_echo "$as_me:${as_lineno-$LINENO}: checking pkg-config is at least version $_pkg_min_version" >&5
63126455 $as_echo_n "checking pkg-config is at least version $_pkg_min_version... " >&6; }
63136456 if $PKG_CONFIG --atleast-pkgconfig-version $_pkg_min_version; then
6314 { $as_echo "$as_me:$LINENO: result: yes" >&5
6457 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
63156458 $as_echo "yes" >&6; }
63166459 else
6317 { $as_echo "$as_me:$LINENO: result: no" >&5
6460 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
63186461 $as_echo "no" >&6; }
63196462 PKG_CONFIG=""
63206463 fi
63226465 fi
63236466
63246467 pkg_failed=no
6325 { $as_echo "$as_me:$LINENO: checking for GTK" >&5
6468 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GTK" >&5
63266469 $as_echo_n "checking for GTK... " >&6; }
63276470
63286471 if test -n "$GTK_CFLAGS"; then
63296472 pkg_cv_GTK_CFLAGS="$GTK_CFLAGS"
63306473 elif test -n "$PKG_CONFIG"; then
63316474 if test -n "$PKG_CONFIG" && \
6332 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gtk+-2.0 >= 2.2.0\"") >&5
6475 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gtk+-2.0 >= 2.2.0\""; } >&5
63336476 ($PKG_CONFIG --exists --print-errors "gtk+-2.0 >= 2.2.0") 2>&5
63346477 ac_status=$?
6335 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6336 (exit $ac_status); }; then
6478 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6479 test $ac_status = 0; }; then
63376480 pkg_cv_GTK_CFLAGS=`$PKG_CONFIG --cflags "gtk+-2.0 >= 2.2.0" 2>/dev/null`
63386481 else
63396482 pkg_failed=yes
63456488 pkg_cv_GTK_LIBS="$GTK_LIBS"
63466489 elif test -n "$PKG_CONFIG"; then
63476490 if test -n "$PKG_CONFIG" && \
6348 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gtk+-2.0 >= 2.2.0\"") >&5
6491 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gtk+-2.0 >= 2.2.0\""; } >&5
63496492 ($PKG_CONFIG --exists --print-errors "gtk+-2.0 >= 2.2.0") 2>&5
63506493 ac_status=$?
6351 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6352 (exit $ac_status); }; then
6494 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6495 test $ac_status = 0; }; then
63536496 pkg_cv_GTK_LIBS=`$PKG_CONFIG --libs "gtk+-2.0 >= 2.2.0" 2>/dev/null`
63546497 else
63556498 pkg_failed=yes
63756518 # Put the nasty error message in config.log where it belongs
63766519 echo "$GTK_PKG_ERRORS" >&5
63776520
6378 { { $as_echo "$as_me:$LINENO: error: Package requirements (gtk+-2.0 >= 2.2.0) were not met:
6521 as_fn_error $? "Package requirements (gtk+-2.0 >= 2.2.0) were not met:
63796522
63806523 $GTK_PKG_ERRORS
63816524
63856528 Alternatively, you may set the environment variables GTK_CFLAGS
63866529 and GTK_LIBS to avoid the need to call pkg-config.
63876530 See the pkg-config man page for more details.
6388 " >&5
6389 $as_echo "$as_me: error: Package requirements (gtk+-2.0 >= 2.2.0) were not met:
6390
6391 $GTK_PKG_ERRORS
6392
6393 Consider adjusting the PKG_CONFIG_PATH environment variable if you
6394 installed software in a non-standard prefix.
6531 " "$LINENO" 5
6532 elif test $pkg_failed = untried; then
6533 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
6534 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6535 as_fn_error $? "The pkg-config script could not be found or is too old. Make sure it
6536 is in your PATH or set the PKG_CONFIG environment variable to the full
6537 path to pkg-config.
63956538
63966539 Alternatively, you may set the environment variables GTK_CFLAGS
63976540 and GTK_LIBS to avoid the need to call pkg-config.
63986541 See the pkg-config man page for more details.
6399 " >&2;}
6400 { (exit 1); exit 1; }; }
6401 elif test $pkg_failed = untried; then
6402 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
6403 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6404 { { $as_echo "$as_me:$LINENO: error: The pkg-config script could not be found or is too old. Make sure it
6405 is in your PATH or set the PKG_CONFIG environment variable to the full
6406 path to pkg-config.
6407
6408 Alternatively, you may set the environment variables GTK_CFLAGS
6409 and GTK_LIBS to avoid the need to call pkg-config.
6410 See the pkg-config man page for more details.
64116542
64126543 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
6413 See \`config.log' for more details." >&5
6414 $as_echo "$as_me: error: The pkg-config script could not be found or is too old. Make sure it
6415 is in your PATH or set the PKG_CONFIG environment variable to the full
6416 path to pkg-config.
6417
6418 Alternatively, you may set the environment variables GTK_CFLAGS
6419 and GTK_LIBS to avoid the need to call pkg-config.
6420 See the pkg-config man page for more details.
6421
6422 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
6423 See \`config.log' for more details." >&2;}
6424 { (exit 1); exit 1; }; }; }
6544 See \`config.log' for more details" "$LINENO" 5; }
64256545 else
64266546 GTK_CFLAGS=$pkg_cv_GTK_CFLAGS
64276547 GTK_LIBS=$pkg_cv_GTK_LIBS
6428 { $as_echo "$as_me:$LINENO: result: yes" >&5
6548 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
64296549 $as_echo "yes" >&6; }
64306550 :
64316551 fi
64376557 if test "x$_gdk_tgt" = xquartz; then
64386558
64396559 pkg_failed=no
6440 { $as_echo "$as_me:$LINENO: checking for GTK_MAC" >&5
6560 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GTK_MAC" >&5
64416561 $as_echo_n "checking for GTK_MAC... " >&6; }
64426562
64436563 if test -n "$GTK_MAC_CFLAGS"; then
64446564 pkg_cv_GTK_MAC_CFLAGS="$GTK_MAC_CFLAGS"
64456565 elif test -n "$PKG_CONFIG"; then
64466566 if test -n "$PKG_CONFIG" && \
6447 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gtk-mac-integration\"") >&5
6567 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gtk-mac-integration\""; } >&5
64486568 ($PKG_CONFIG --exists --print-errors "gtk-mac-integration") 2>&5
64496569 ac_status=$?
6450 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6451 (exit $ac_status); }; then
6570 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6571 test $ac_status = 0; }; then
64526572 pkg_cv_GTK_MAC_CFLAGS=`$PKG_CONFIG --cflags "gtk-mac-integration" 2>/dev/null`
64536573 else
64546574 pkg_failed=yes
64606580 pkg_cv_GTK_MAC_LIBS="$GTK_MAC_LIBS"
64616581 elif test -n "$PKG_CONFIG"; then
64626582 if test -n "$PKG_CONFIG" && \
6463 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gtk-mac-integration\"") >&5
6583 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gtk-mac-integration\""; } >&5
64646584 ($PKG_CONFIG --exists --print-errors "gtk-mac-integration") 2>&5
64656585 ac_status=$?
6466 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6467 (exit $ac_status); }; then
6586 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6587 test $ac_status = 0; }; then
64686588 pkg_cv_GTK_MAC_LIBS=`$PKG_CONFIG --libs "gtk-mac-integration" 2>/dev/null`
64696589 else
64706590 pkg_failed=yes
64906610 # Put the nasty error message in config.log where it belongs
64916611 echo "$GTK_MAC_PKG_ERRORS" >&5
64926612
6493 { { $as_echo "$as_me:$LINENO: error: Package requirements (gtk-mac-integration) were not met:
6613 as_fn_error $? "Package requirements (gtk-mac-integration) were not met:
64946614
64956615 $GTK_MAC_PKG_ERRORS
64966616
65006620 Alternatively, you may set the environment variables GTK_MAC_CFLAGS
65016621 and GTK_MAC_LIBS to avoid the need to call pkg-config.
65026622 See the pkg-config man page for more details.
6503 " >&5
6504 $as_echo "$as_me: error: Package requirements (gtk-mac-integration) were not met:
6505
6506 $GTK_MAC_PKG_ERRORS
6507
6508 Consider adjusting the PKG_CONFIG_PATH environment variable if you
6509 installed software in a non-standard prefix.
6623 " "$LINENO" 5
6624 elif test $pkg_failed = untried; then
6625 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
6626 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6627 as_fn_error $? "The pkg-config script could not be found or is too old. Make sure it
6628 is in your PATH or set the PKG_CONFIG environment variable to the full
6629 path to pkg-config.
65106630
65116631 Alternatively, you may set the environment variables GTK_MAC_CFLAGS
65126632 and GTK_MAC_LIBS to avoid the need to call pkg-config.
65136633 See the pkg-config man page for more details.
6514 " >&2;}
6515 { (exit 1); exit 1; }; }
6516 elif test $pkg_failed = untried; then
6517 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
6518 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6519 { { $as_echo "$as_me:$LINENO: error: The pkg-config script could not be found or is too old. Make sure it
6520 is in your PATH or set the PKG_CONFIG environment variable to the full
6521 path to pkg-config.
6522
6523 Alternatively, you may set the environment variables GTK_MAC_CFLAGS
6524 and GTK_MAC_LIBS to avoid the need to call pkg-config.
6525 See the pkg-config man page for more details.
65266634
65276635 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
6528 See \`config.log' for more details." >&5
6529 $as_echo "$as_me: error: The pkg-config script could not be found or is too old. Make sure it
6530 is in your PATH or set the PKG_CONFIG environment variable to the full
6531 path to pkg-config.
6532
6533 Alternatively, you may set the environment variables GTK_MAC_CFLAGS
6534 and GTK_MAC_LIBS to avoid the need to call pkg-config.
6535 See the pkg-config man page for more details.
6536
6537 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
6538 See \`config.log' for more details." >&2;}
6539 { (exit 1); exit 1; }; }; }
6636 See \`config.log' for more details" "$LINENO" 5; }
65406637 else
65416638 GTK_MAC_CFLAGS=$pkg_cv_GTK_MAC_CFLAGS
65426639 GTK_MAC_LIBS=$pkg_cv_GTK_MAC_LIBS
6543 { $as_echo "$as_me:$LINENO: result: yes" >&5
6640 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
65446641 $as_echo "yes" >&6; }
65456642 :
65466643 fi
65606657 if test x$with_gconf = xyes; then
65616658
65626659 pkg_failed=no
6563 { $as_echo "$as_me:$LINENO: checking for GCONF" >&5
6660 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GCONF" >&5
65646661 $as_echo_n "checking for GCONF... " >&6; }
65656662
65666663 if test -n "$GCONF_CFLAGS"; then
65676664 pkg_cv_GCONF_CFLAGS="$GCONF_CFLAGS"
65686665 elif test -n "$PKG_CONFIG"; then
65696666 if test -n "$PKG_CONFIG" && \
6570 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gconf-2.0 >= 2.0\"") >&5
6667 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gconf-2.0 >= 2.0\""; } >&5
65716668 ($PKG_CONFIG --exists --print-errors "gconf-2.0 >= 2.0") 2>&5
65726669 ac_status=$?
6573 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6574 (exit $ac_status); }; then
6670 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6671 test $ac_status = 0; }; then
65756672 pkg_cv_GCONF_CFLAGS=`$PKG_CONFIG --cflags "gconf-2.0 >= 2.0" 2>/dev/null`
65766673 else
65776674 pkg_failed=yes
65836680 pkg_cv_GCONF_LIBS="$GCONF_LIBS"
65846681 elif test -n "$PKG_CONFIG"; then
65856682 if test -n "$PKG_CONFIG" && \
6586 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gconf-2.0 >= 2.0\"") >&5
6683 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gconf-2.0 >= 2.0\""; } >&5
65876684 ($PKG_CONFIG --exists --print-errors "gconf-2.0 >= 2.0") 2>&5
65886685 ac_status=$?
6589 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6590 (exit $ac_status); }; then
6686 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6687 test $ac_status = 0; }; then
65916688 pkg_cv_GCONF_LIBS=`$PKG_CONFIG --libs "gconf-2.0 >= 2.0" 2>/dev/null`
65926689 else
65936690 pkg_failed=yes
66136710 # Put the nasty error message in config.log where it belongs
66146711 echo "$GCONF_PKG_ERRORS" >&5
66156712
6616 { { $as_echo "$as_me:$LINENO: error: Package requirements (gconf-2.0 >= 2.0) were not met:
6713 as_fn_error $? "Package requirements (gconf-2.0 >= 2.0) were not met:
66176714
66186715 $GCONF_PKG_ERRORS
66196716
66236720 Alternatively, you may set the environment variables GCONF_CFLAGS
66246721 and GCONF_LIBS to avoid the need to call pkg-config.
66256722 See the pkg-config man page for more details.
6626 " >&5
6627 $as_echo "$as_me: error: Package requirements (gconf-2.0 >= 2.0) were not met:
6628
6629 $GCONF_PKG_ERRORS
6630
6631 Consider adjusting the PKG_CONFIG_PATH environment variable if you
6632 installed software in a non-standard prefix.
6723 " "$LINENO" 5
6724 elif test $pkg_failed = untried; then
6725 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
6726 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6727 as_fn_error $? "The pkg-config script could not be found or is too old. Make sure it
6728 is in your PATH or set the PKG_CONFIG environment variable to the full
6729 path to pkg-config.
66336730
66346731 Alternatively, you may set the environment variables GCONF_CFLAGS
66356732 and GCONF_LIBS to avoid the need to call pkg-config.
66366733 See the pkg-config man page for more details.
6637 " >&2;}
6638 { (exit 1); exit 1; }; }
6639 elif test $pkg_failed = untried; then
6640 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
6641 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6642 { { $as_echo "$as_me:$LINENO: error: The pkg-config script could not be found or is too old. Make sure it
6643 is in your PATH or set the PKG_CONFIG environment variable to the full
6644 path to pkg-config.
6645
6646 Alternatively, you may set the environment variables GCONF_CFLAGS
6647 and GCONF_LIBS to avoid the need to call pkg-config.
6648 See the pkg-config man page for more details.
66496734
66506735 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
6651 See \`config.log' for more details." >&5
6652 $as_echo "$as_me: error: The pkg-config script could not be found or is too old. Make sure it
6653 is in your PATH or set the PKG_CONFIG environment variable to the full
6654 path to pkg-config.
6655
6656 Alternatively, you may set the environment variables GCONF_CFLAGS
6657 and GCONF_LIBS to avoid the need to call pkg-config.
6658 See the pkg-config man page for more details.
6659
6660 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
6661 See \`config.log' for more details." >&2;}
6662 { (exit 1); exit 1; }; }; }
6736 See \`config.log' for more details" "$LINENO" 5; }
66636737 else
66646738 GCONF_CFLAGS=$pkg_cv_GCONF_CFLAGS
66656739 GCONF_LIBS=$pkg_cv_GCONF_LIBS
6666 { $as_echo "$as_me:$LINENO: result: yes" >&5
6740 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
66676741 $as_echo "yes" >&6; }
66686742 :
66696743 fi
66746748
66756749
66766750 pkg_failed=no
6677 { $as_echo "$as_me:$LINENO: checking for GTK_UNIX_PRINT" >&5
6751 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GTK_UNIX_PRINT" >&5
66786752 $as_echo_n "checking for GTK_UNIX_PRINT... " >&6; }
66796753
66806754 if test -n "$GTK_UNIX_PRINT_CFLAGS"; then
66816755 pkg_cv_GTK_UNIX_PRINT_CFLAGS="$GTK_UNIX_PRINT_CFLAGS"
66826756 elif test -n "$PKG_CONFIG"; then
66836757 if test -n "$PKG_CONFIG" && \
6684 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gtk+-unix-print-2.0\"") >&5
6758 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gtk+-unix-print-2.0\""; } >&5
66856759 ($PKG_CONFIG --exists --print-errors "gtk+-unix-print-2.0") 2>&5
66866760 ac_status=$?
6687 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6688 (exit $ac_status); }; then
6761 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6762 test $ac_status = 0; }; then
66896763 pkg_cv_GTK_UNIX_PRINT_CFLAGS=`$PKG_CONFIG --cflags "gtk+-unix-print-2.0" 2>/dev/null`
66906764 else
66916765 pkg_failed=yes
66976771 pkg_cv_GTK_UNIX_PRINT_LIBS="$GTK_UNIX_PRINT_LIBS"
66986772 elif test -n "$PKG_CONFIG"; then
66996773 if test -n "$PKG_CONFIG" && \
6700 { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gtk+-unix-print-2.0\"") >&5
6774 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gtk+-unix-print-2.0\""; } >&5
67016775 ($PKG_CONFIG --exists --print-errors "gtk+-unix-print-2.0") 2>&5
67026776 ac_status=$?
6703 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6704 (exit $ac_status); }; then
6777 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
6778 test $ac_status = 0; }; then
67056779 pkg_cv_GTK_UNIX_PRINT_LIBS=`$PKG_CONFIG --libs "gtk+-unix-print-2.0" 2>/dev/null`
67066780 else
67076781 pkg_failed=yes
67276801 # Put the nasty error message in config.log where it belongs
67286802 echo "$GTK_UNIX_PRINT_PKG_ERRORS" >&5
67296803
6730 { $as_echo "$as_me:$LINENO: result: no" >&5
6804 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
67316805 $as_echo "no" >&6; }
67326806 GUP_F="no"
67336807 elif test $pkg_failed = untried; then
67356809 else
67366810 GTK_UNIX_PRINT_CFLAGS=$pkg_cv_GTK_UNIX_PRINT_CFLAGS
67376811 GTK_UNIX_PRINT_LIBS=$pkg_cv_GTK_UNIX_PRINT_LIBS
6738 { $as_echo "$as_me:$LINENO: result: yes" >&5
6812 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
67396813 $as_echo "yes" >&6; }
67406814 GUP_F="yes"
67416815 fi
67536827 ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
67546828 ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
67556829 ac_compiler_gnu=$ac_cv_c_compiler_gnu
6756 { $as_echo "$as_me:$LINENO: checking how to run the C preprocessor" >&5
6830 { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to run the C preprocessor" >&5
67576831 $as_echo_n "checking how to run the C preprocessor... " >&6; }
67586832 # On Suns, sometimes $CPP names a directory.
67596833 if test -n "$CPP" && test -d "$CPP"; then
67606834 CPP=
67616835 fi
67626836 if test -z "$CPP"; then
6763 if test "${ac_cv_prog_CPP+set}" = set; then
6837 if ${ac_cv_prog_CPP+:} false; then :
67646838 $as_echo_n "(cached) " >&6
67656839 else
67666840 # Double quotes because CPP needs to be expanded
67756849 # <limits.h> exists even on freestanding compilers.
67766850 # On the NeXT, cc -E runs the code through the compiler's parser,
67776851 # not just through cpp. "Syntax error" is here to catch this case.
6778 cat >conftest.$ac_ext <<_ACEOF
6779 /* confdefs.h. */
6780 _ACEOF
6781 cat confdefs.h >>conftest.$ac_ext
6782 cat >>conftest.$ac_ext <<_ACEOF
6852 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
67836853 /* end confdefs.h. */
67846854 #ifdef __STDC__
67856855 # include <limits.h>
67886858 #endif
67896859 Syntax error
67906860 _ACEOF
6791 if { (ac_try="$ac_cpp conftest.$ac_ext"
6792 case "(($ac_try" in
6793 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
6794 *) ac_try_echo=$ac_try;;
6795 esac
6796 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
6797 $as_echo "$ac_try_echo") >&5
6798 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
6799 ac_status=$?
6800 grep -v '^ *+' conftest.er1 >conftest.err
6801 rm -f conftest.er1
6802 cat conftest.err >&5
6803 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6804 (exit $ac_status); } >/dev/null && {
6805 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
6806 test ! -s conftest.err
6807 }; then
6808 :
6809 else
6810 $as_echo "$as_me: failed program was:" >&5
6811 sed 's/^/| /' conftest.$ac_ext >&5
6812
6861 if ac_fn_c_try_cpp "$LINENO"; then :
6862
6863 else
68136864 # Broken: fails on valid input.
68146865 continue
68156866 fi
6816
6817 rm -f conftest.err conftest.$ac_ext
6867 rm -f conftest.err conftest.i conftest.$ac_ext
68186868
68196869 # OK, works on sane cases. Now check whether nonexistent headers
68206870 # can be detected and how.
6821 cat >conftest.$ac_ext <<_ACEOF
6822 /* confdefs.h. */
6823 _ACEOF
6824 cat confdefs.h >>conftest.$ac_ext
6825 cat >>conftest.$ac_ext <<_ACEOF
6871 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
68266872 /* end confdefs.h. */
68276873 #include <ac_nonexistent.h>
68286874 _ACEOF
6829 if { (ac_try="$ac_cpp conftest.$ac_ext"
6830 case "(($ac_try" in
6831 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
6832 *) ac_try_echo=$ac_try;;
6833 esac
6834 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
6835 $as_echo "$ac_try_echo") >&5
6836 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
6837 ac_status=$?
6838 grep -v '^ *+' conftest.er1 >conftest.err
6839 rm -f conftest.er1
6840 cat conftest.err >&5
6841 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6842 (exit $ac_status); } >/dev/null && {
6843 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
6844 test ! -s conftest.err
6845 }; then
6875 if ac_fn_c_try_cpp "$LINENO"; then :
68466876 # Broken: success on invalid input.
68476877 continue
68486878 else
6849 $as_echo "$as_me: failed program was:" >&5
6850 sed 's/^/| /' conftest.$ac_ext >&5
6851
68526879 # Passes both tests.
68536880 ac_preproc_ok=:
68546881 break
68556882 fi
6856
6857 rm -f conftest.err conftest.$ac_ext
6883 rm -f conftest.err conftest.i conftest.$ac_ext
68586884
68596885 done
68606886 # Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
6861 rm -f conftest.err conftest.$ac_ext
6862 if $ac_preproc_ok; then
6887 rm -f conftest.i conftest.err conftest.$ac_ext
6888 if $ac_preproc_ok; then :
68636889 break
68646890 fi
68656891
68716897 else
68726898 ac_cv_prog_CPP=$CPP
68736899 fi
6874 { $as_echo "$as_me:$LINENO: result: $CPP" >&5
6900 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $CPP" >&5
68756901 $as_echo "$CPP" >&6; }
68766902 ac_preproc_ok=false
68776903 for ac_c_preproc_warn_flag in '' yes
68826908 # <limits.h> exists even on freestanding compilers.
68836909 # On the NeXT, cc -E runs the code through the compiler's parser,
68846910 # not just through cpp. "Syntax error" is here to catch this case.
6885 cat >conftest.$ac_ext <<_ACEOF
6886 /* confdefs.h. */
6887 _ACEOF
6888 cat confdefs.h >>conftest.$ac_ext
6889 cat >>conftest.$ac_ext <<_ACEOF
6911 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
68906912 /* end confdefs.h. */
68916913 #ifdef __STDC__
68926914 # include <limits.h>
68956917 #endif
68966918 Syntax error
68976919 _ACEOF
6898 if { (ac_try="$ac_cpp conftest.$ac_ext"
6899 case "(($ac_try" in
6900 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
6901 *) ac_try_echo=$ac_try;;
6902 esac
6903 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
6904 $as_echo "$ac_try_echo") >&5
6905 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
6906 ac_status=$?
6907 grep -v '^ *+' conftest.er1 >conftest.err
6908 rm -f conftest.er1
6909 cat conftest.err >&5
6910 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6911 (exit $ac_status); } >/dev/null && {
6912 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
6913 test ! -s conftest.err
6914 }; then
6915 :
6916 else
6917 $as_echo "$as_me: failed program was:" >&5
6918 sed 's/^/| /' conftest.$ac_ext >&5
6919
6920 if ac_fn_c_try_cpp "$LINENO"; then :
6921
6922 else
69206923 # Broken: fails on valid input.
69216924 continue
69226925 fi
6923
6924 rm -f conftest.err conftest.$ac_ext
6926 rm -f conftest.err conftest.i conftest.$ac_ext
69256927
69266928 # OK, works on sane cases. Now check whether nonexistent headers
69276929 # can be detected and how.
6928 cat >conftest.$ac_ext <<_ACEOF
6929 /* confdefs.h. */
6930 _ACEOF
6931 cat confdefs.h >>conftest.$ac_ext
6932 cat >>conftest.$ac_ext <<_ACEOF
6930 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
69336931 /* end confdefs.h. */
69346932 #include <ac_nonexistent.h>
69356933 _ACEOF
6936 if { (ac_try="$ac_cpp conftest.$ac_ext"
6937 case "(($ac_try" in
6938 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
6939 *) ac_try_echo=$ac_try;;
6940 esac
6941 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
6942 $as_echo "$ac_try_echo") >&5
6943 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
6944 ac_status=$?
6945 grep -v '^ *+' conftest.er1 >conftest.err
6946 rm -f conftest.er1
6947 cat conftest.err >&5
6948 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
6949 (exit $ac_status); } >/dev/null && {
6950 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
6951 test ! -s conftest.err
6952 }; then
6934 if ac_fn_c_try_cpp "$LINENO"; then :
69536935 # Broken: success on invalid input.
69546936 continue
69556937 else
6956 $as_echo "$as_me: failed program was:" >&5
6957 sed 's/^/| /' conftest.$ac_ext >&5
6958
69596938 # Passes both tests.
69606939 ac_preproc_ok=:
69616940 break
69626941 fi
6963
6964 rm -f conftest.err conftest.$ac_ext
6942 rm -f conftest.err conftest.i conftest.$ac_ext
69656943
69666944 done
69676945 # Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
6968 rm -f conftest.err conftest.$ac_ext
6969 if $ac_preproc_ok; then
6970 :
6971 else
6972 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
6946 rm -f conftest.i conftest.err conftest.$ac_ext
6947 if $ac_preproc_ok; then :
6948
6949 else
6950 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
69736951 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
6974 { { $as_echo "$as_me:$LINENO: error: C preprocessor \"$CPP\" fails sanity check
6975 See \`config.log' for more details." >&5
6976 $as_echo "$as_me: error: C preprocessor \"$CPP\" fails sanity check
6977 See \`config.log' for more details." >&2;}
6978 { (exit 1); exit 1; }; }; }
6952 as_fn_error $? "C preprocessor \"$CPP\" fails sanity check
6953 See \`config.log' for more details" "$LINENO" 5; }
69796954 fi
69806955
69816956 ac_ext=c
69856960 ac_compiler_gnu=$ac_cv_c_compiler_gnu
69866961
69876962
6988 { $as_echo "$as_me:$LINENO: checking for grep that handles long lines and -e" >&5
6963 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for grep that handles long lines and -e" >&5
69896964 $as_echo_n "checking for grep that handles long lines and -e... " >&6; }
6990 if test "${ac_cv_path_GREP+set}" = set; then
6965 if ${ac_cv_path_GREP+:} false; then :
69916966 $as_echo_n "(cached) " >&6
69926967 else
69936968 if test -z "$GREP"; then
69986973 do
69996974 IFS=$as_save_IFS
70006975 test -z "$as_dir" && as_dir=.
7001 for ac_prog in grep ggrep; do
6976 for ac_prog in grep ggrep; do
70026977 for ac_exec_ext in '' $ac_executable_extensions; do
70036978 ac_path_GREP="$as_dir/$ac_prog$ac_exec_ext"
7004 { test -f "$ac_path_GREP" && $as_test_x "$ac_path_GREP"; } || continue
6979 as_fn_executable_p "$ac_path_GREP" || continue
70056980 # Check for GNU ac_path_GREP and select it if it is found.
70066981 # Check for GNU $ac_path_GREP
70076982 case `"$ac_path_GREP" --version 2>&1` in
70186993 $as_echo 'GREP' >> "conftest.nl"
70196994 "$ac_path_GREP" -e 'GREP$' -e '-(cannot match)-' < "conftest.nl" >"conftest.out" 2>/dev/null || break
70206995 diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
7021 ac_count=`expr $ac_count + 1`
6996 as_fn_arith $ac_count + 1 && ac_count=$as_val
70226997 if test $ac_count -gt ${ac_path_GREP_max-0}; then
70236998 # Best one so far, save it but keep looking for a better one
70246999 ac_cv_path_GREP="$ac_path_GREP"
70337008 $ac_path_GREP_found && break 3
70347009 done
70357010 done
7036 done
7011 done
70377012 IFS=$as_save_IFS
70387013 if test -z "$ac_cv_path_GREP"; then
7039 { { $as_echo "$as_me:$LINENO: error: no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
7040 $as_echo "$as_me: error: no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
7041 { (exit 1); exit 1; }; }
7014 as_fn_error $? "no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
70427015 fi
70437016 else
70447017 ac_cv_path_GREP=$GREP
70457018 fi
70467019
70477020 fi
7048 { $as_echo "$as_me:$LINENO: result: $ac_cv_path_GREP" >&5
7021 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_GREP" >&5
70497022 $as_echo "$ac_cv_path_GREP" >&6; }
70507023 GREP="$ac_cv_path_GREP"
70517024
70527025
7053 { $as_echo "$as_me:$LINENO: checking for egrep" >&5
7026 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for egrep" >&5
70547027 $as_echo_n "checking for egrep... " >&6; }
7055 if test "${ac_cv_path_EGREP+set}" = set; then
7028 if ${ac_cv_path_EGREP+:} false; then :
70567029 $as_echo_n "(cached) " >&6
70577030 else
70587031 if echo a | $GREP -E '(a|b)' >/dev/null 2>&1
70667039 do
70677040 IFS=$as_save_IFS
70687041 test -z "$as_dir" && as_dir=.
7069 for ac_prog in egrep; do
7042 for ac_prog in egrep; do
70707043 for ac_exec_ext in '' $ac_executable_extensions; do
70717044 ac_path_EGREP="$as_dir/$ac_prog$ac_exec_ext"
7072 { test -f "$ac_path_EGREP" && $as_test_x "$ac_path_EGREP"; } || continue
7045 as_fn_executable_p "$ac_path_EGREP" || continue
70737046 # Check for GNU ac_path_EGREP and select it if it is found.
70747047 # Check for GNU $ac_path_EGREP
70757048 case `"$ac_path_EGREP" --version 2>&1` in
70867059 $as_echo 'EGREP' >> "conftest.nl"
70877060 "$ac_path_EGREP" 'EGREP$' < "conftest.nl" >"conftest.out" 2>/dev/null || break
70887061 diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
7089 ac_count=`expr $ac_count + 1`
7062 as_fn_arith $ac_count + 1 && ac_count=$as_val
70907063 if test $ac_count -gt ${ac_path_EGREP_max-0}; then
70917064 # Best one so far, save it but keep looking for a better one
70927065 ac_cv_path_EGREP="$ac_path_EGREP"
71017074 $ac_path_EGREP_found && break 3
71027075 done
71037076 done
7104 done
7077 done
71057078 IFS=$as_save_IFS
71067079 if test -z "$ac_cv_path_EGREP"; then
7107 { { $as_echo "$as_me:$LINENO: error: no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
7108 $as_echo "$as_me: error: no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
7109 { (exit 1); exit 1; }; }
7080 as_fn_error $? "no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" "$LINENO" 5
71107081 fi
71117082 else
71127083 ac_cv_path_EGREP=$EGREP
71147085
71157086 fi
71167087 fi
7117 { $as_echo "$as_me:$LINENO: result: $ac_cv_path_EGREP" >&5
7088 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_path_EGREP" >&5
71187089 $as_echo "$ac_cv_path_EGREP" >&6; }
71197090 EGREP="$ac_cv_path_EGREP"
71207091
71217092
7122 { $as_echo "$as_me:$LINENO: checking for ANSI C header files" >&5
7093 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5
71237094 $as_echo_n "checking for ANSI C header files... " >&6; }
7124 if test "${ac_cv_header_stdc+set}" = set; then
7095 if ${ac_cv_header_stdc+:} false; then :
71257096 $as_echo_n "(cached) " >&6
71267097 else
7127 cat >conftest.$ac_ext <<_ACEOF
7128 /* confdefs.h. */
7129 _ACEOF
7130 cat confdefs.h >>conftest.$ac_ext
7131 cat >>conftest.$ac_ext <<_ACEOF
7098 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
71327099 /* end confdefs.h. */
71337100 #include <stdlib.h>
71347101 #include <stdarg.h>
71437110 return 0;
71447111 }
71457112 _ACEOF
7146 rm -f conftest.$ac_objext
7147 if { (ac_try="$ac_compile"
7148 case "(($ac_try" in
7149 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7150 *) ac_try_echo=$ac_try;;
7151 esac
7152 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7153 $as_echo "$ac_try_echo") >&5
7154 (eval "$ac_compile") 2>conftest.er1
7155 ac_status=$?
7156 grep -v '^ *+' conftest.er1 >conftest.err
7157 rm -f conftest.er1
7158 cat conftest.err >&5
7159 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7160 (exit $ac_status); } && {
7161 test -z "$ac_c_werror_flag" ||
7162 test ! -s conftest.err
7163 } && test -s conftest.$ac_objext; then
7113 if ac_fn_c_try_compile "$LINENO"; then :
71647114 ac_cv_header_stdc=yes
71657115 else
7166 $as_echo "$as_me: failed program was:" >&5
7167 sed 's/^/| /' conftest.$ac_ext >&5
7168
7169 ac_cv_header_stdc=no
7170 fi
7171
7116 ac_cv_header_stdc=no
7117 fi
71727118 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
71737119
71747120 if test $ac_cv_header_stdc = yes; then
71757121 # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
7176 cat >conftest.$ac_ext <<_ACEOF
7177 /* confdefs.h. */
7178 _ACEOF
7179 cat confdefs.h >>conftest.$ac_ext
7180 cat >>conftest.$ac_ext <<_ACEOF
7122 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
71817123 /* end confdefs.h. */
71827124 #include <string.h>
71837125
71847126 _ACEOF
71857127 if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
7186 $EGREP "memchr" >/dev/null 2>&1; then
7187 :
7128 $EGREP "memchr" >/dev/null 2>&1; then :
7129
71887130 else
71897131 ac_cv_header_stdc=no
71907132 fi
71947136
71957137 if test $ac_cv_header_stdc = yes; then
71967138 # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
7197 cat >conftest.$ac_ext <<_ACEOF
7198 /* confdefs.h. */
7199 _ACEOF
7200 cat confdefs.h >>conftest.$ac_ext
7201 cat >>conftest.$ac_ext <<_ACEOF
7139 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
72027140 /* end confdefs.h. */
72037141 #include <stdlib.h>
72047142
72057143 _ACEOF
72067144 if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
7207 $EGREP "free" >/dev/null 2>&1; then
7208 :
7145 $EGREP "free" >/dev/null 2>&1; then :
7146
72097147 else
72107148 ac_cv_header_stdc=no
72117149 fi
72157153
72167154 if test $ac_cv_header_stdc = yes; then
72177155 # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
7218 if test "$cross_compiling" = yes; then
7156 if test "$cross_compiling" = yes; then :
72197157 :
72207158 else
7221 cat >conftest.$ac_ext <<_ACEOF
7222 /* confdefs.h. */
7223 _ACEOF
7224 cat confdefs.h >>conftest.$ac_ext
7225 cat >>conftest.$ac_ext <<_ACEOF
7159 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
72267160 /* end confdefs.h. */
72277161 #include <ctype.h>
72287162 #include <stdlib.h>
72497183 return 0;
72507184 }
72517185 _ACEOF
7252 rm -f conftest$ac_exeext
7253 if { (ac_try="$ac_link"
7254 case "(($ac_try" in
7255 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7256 *) ac_try_echo=$ac_try;;
7257 esac
7258 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7259 $as_echo "$ac_try_echo") >&5
7260 (eval "$ac_link") 2>&5
7261 ac_status=$?
7262 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7263 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
7264 { (case "(($ac_try" in
7265 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7266 *) ac_try_echo=$ac_try;;
7267 esac
7268 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7269 $as_echo "$ac_try_echo") >&5
7270 (eval "$ac_try") 2>&5
7271 ac_status=$?
7272 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7273 (exit $ac_status); }; }; then
7274 :
7275 else
7276 $as_echo "$as_me: program exited with status $ac_status" >&5
7277 $as_echo "$as_me: failed program was:" >&5
7278 sed 's/^/| /' conftest.$ac_ext >&5
7279
7280 ( exit $ac_status )
7281 ac_cv_header_stdc=no
7282 fi
7283 rm -rf conftest.dSYM
7284 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
7285 fi
7286
7287
7288 fi
7289 fi
7290 { $as_echo "$as_me:$LINENO: result: $ac_cv_header_stdc" >&5
7186 if ac_fn_c_try_run "$LINENO"; then :
7187
7188 else
7189 ac_cv_header_stdc=no
7190 fi
7191 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
7192 conftest.$ac_objext conftest.beam conftest.$ac_ext
7193 fi
7194
7195 fi
7196 fi
7197 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_stdc" >&5
72917198 $as_echo "$ac_cv_header_stdc" >&6; }
72927199 if test $ac_cv_header_stdc = yes; then
72937200
7294 cat >>confdefs.h <<\_ACEOF
7295 #define STDC_HEADERS 1
7296 _ACEOF
7201 $as_echo "#define STDC_HEADERS 1" >>confdefs.h
72977202
72987203 fi
72997204
73007205 # On IRIX 5.3, sys/types and inttypes.h are conflicting.
7301
7302
7303
7304
7305
7306
7307
7308
7309
73107206 for ac_header in sys/types.h sys/stat.h stdlib.h string.h memory.h strings.h \
73117207 inttypes.h stdint.h unistd.h
7312 do
7313 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
7314 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
7315 $as_echo_n "checking for $ac_header... " >&6; }
7316 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
7317 $as_echo_n "(cached) " >&6
7318 else
7319 cat >conftest.$ac_ext <<_ACEOF
7320 /* confdefs.h. */
7321 _ACEOF
7322 cat confdefs.h >>conftest.$ac_ext
7323 cat >>conftest.$ac_ext <<_ACEOF
7324 /* end confdefs.h. */
7325 $ac_includes_default
7326
7327 #include <$ac_header>
7328 _ACEOF
7329 rm -f conftest.$ac_objext
7330 if { (ac_try="$ac_compile"
7331 case "(($ac_try" in
7332 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7333 *) ac_try_echo=$ac_try;;
7334 esac
7335 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7336 $as_echo "$ac_try_echo") >&5
7337 (eval "$ac_compile") 2>conftest.er1
7338 ac_status=$?
7339 grep -v '^ *+' conftest.er1 >conftest.err
7340 rm -f conftest.er1
7341 cat conftest.err >&5
7342 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7343 (exit $ac_status); } && {
7344 test -z "$ac_c_werror_flag" ||
7345 test ! -s conftest.err
7346 } && test -s conftest.$ac_objext; then
7347 eval "$as_ac_Header=yes"
7348 else
7349 $as_echo "$as_me: failed program was:" >&5
7350 sed 's/^/| /' conftest.$ac_ext >&5
7351
7352 eval "$as_ac_Header=no"
7353 fi
7354
7355 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
7356 fi
7357 ac_res=`eval 'as_val=${'$as_ac_Header'}
7358 $as_echo "$as_val"'`
7359 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
7360 $as_echo "$ac_res" >&6; }
7361 as_val=`eval 'as_val=${'$as_ac_Header'}
7362 $as_echo "$as_val"'`
7363 if test "x$as_val" = x""yes; then
7208 do :
7209 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
7210 ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
7211 "
7212 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
73647213 cat >>confdefs.h <<_ACEOF
73657214 #define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
73667215 _ACEOF
73707219 done
73717220
73727221
7222 ac_fn_c_check_type "$LINENO" "size_t" "ac_cv_type_size_t" "$ac_includes_default"
7223 if test "x$ac_cv_type_size_t" = xyes; then :
7224
7225 else
7226
7227 cat >>confdefs.h <<_ACEOF
7228 #define size_t unsigned int
7229 _ACEOF
7230
7231 fi
7232
73737233 # The Ultrix 4.2 mips builtin alloca declared by alloca.h only works
73747234 # for constant arguments. Useless!
7375 { $as_echo "$as_me:$LINENO: checking for working alloca.h" >&5
7235 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for working alloca.h" >&5
73767236 $as_echo_n "checking for working alloca.h... " >&6; }
7377 if test "${ac_cv_working_alloca_h+set}" = set; then
7237 if ${ac_cv_working_alloca_h+:} false; then :
73787238 $as_echo_n "(cached) " >&6
73797239 else
7380 cat >conftest.$ac_ext <<_ACEOF
7381 /* confdefs.h. */
7382 _ACEOF
7383 cat confdefs.h >>conftest.$ac_ext
7384 cat >>conftest.$ac_ext <<_ACEOF
7240 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
73857241 /* end confdefs.h. */
73867242 #include <alloca.h>
73877243 int
73937249 return 0;
73947250 }
73957251 _ACEOF
7396 rm -f conftest.$ac_objext conftest$ac_exeext
7397 if { (ac_try="$ac_link"
7398 case "(($ac_try" in
7399 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7400 *) ac_try_echo=$ac_try;;
7401 esac
7402 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7403 $as_echo "$ac_try_echo") >&5
7404 (eval "$ac_link") 2>conftest.er1
7405 ac_status=$?
7406 grep -v '^ *+' conftest.er1 >conftest.err
7407 rm -f conftest.er1
7408 cat conftest.err >&5
7409 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7410 (exit $ac_status); } && {
7411 test -z "$ac_c_werror_flag" ||
7412 test ! -s conftest.err
7413 } && test -s conftest$ac_exeext && {
7414 test "$cross_compiling" = yes ||
7415 $as_test_x conftest$ac_exeext
7416 }; then
7252 if ac_fn_c_try_link "$LINENO"; then :
74177253 ac_cv_working_alloca_h=yes
74187254 else
7419 $as_echo "$as_me: failed program was:" >&5
7420 sed 's/^/| /' conftest.$ac_ext >&5
7421
7422 ac_cv_working_alloca_h=no
7423 fi
7424
7425 rm -rf conftest.dSYM
7426 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
7427 conftest$ac_exeext conftest.$ac_ext
7428 fi
7429 { $as_echo "$as_me:$LINENO: result: $ac_cv_working_alloca_h" >&5
7255 ac_cv_working_alloca_h=no
7256 fi
7257 rm -f core conftest.err conftest.$ac_objext \
7258 conftest$ac_exeext conftest.$ac_ext
7259 fi
7260 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_working_alloca_h" >&5
74307261 $as_echo "$ac_cv_working_alloca_h" >&6; }
74317262 if test $ac_cv_working_alloca_h = yes; then
74327263
7433 cat >>confdefs.h <<\_ACEOF
7434 #define HAVE_ALLOCA_H 1
7435 _ACEOF
7436
7437 fi
7438
7439 { $as_echo "$as_me:$LINENO: checking for alloca" >&5
7264 $as_echo "#define HAVE_ALLOCA_H 1" >>confdefs.h
7265
7266 fi
7267
7268 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for alloca" >&5
74407269 $as_echo_n "checking for alloca... " >&6; }
7441 if test "${ac_cv_func_alloca_works+set}" = set; then
7270 if ${ac_cv_func_alloca_works+:} false; then :
74427271 $as_echo_n "(cached) " >&6
74437272 else
7444 cat >conftest.$ac_ext <<_ACEOF
7445 /* confdefs.h. */
7446 _ACEOF
7447 cat confdefs.h >>conftest.$ac_ext
7448 cat >>conftest.$ac_ext <<_ACEOF
7273 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
74497274 /* end confdefs.h. */
74507275 #ifdef __GNUC__
74517276 # define alloca __builtin_alloca
74617286 #pragma alloca
74627287 # else
74637288 # ifndef alloca /* predefined by HP cc +Olibcalls */
7464 char *alloca ();
7289 void *alloca (size_t);
74657290 # endif
74667291 # endif
74677292 # endif
74777302 return 0;
74787303 }
74797304 _ACEOF
7480 rm -f conftest.$ac_objext conftest$ac_exeext
7481 if { (ac_try="$ac_link"
7482 case "(($ac_try" in
7483 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7484 *) ac_try_echo=$ac_try;;
7485 esac
7486 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7487 $as_echo "$ac_try_echo") >&5
7488 (eval "$ac_link") 2>conftest.er1
7489 ac_status=$?
7490 grep -v '^ *+' conftest.er1 >conftest.err
7491 rm -f conftest.er1
7492 cat conftest.err >&5
7493 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7494 (exit $ac_status); } && {
7495 test -z "$ac_c_werror_flag" ||
7496 test ! -s conftest.err
7497 } && test -s conftest$ac_exeext && {
7498 test "$cross_compiling" = yes ||
7499 $as_test_x conftest$ac_exeext
7500 }; then
7305 if ac_fn_c_try_link "$LINENO"; then :
75017306 ac_cv_func_alloca_works=yes
75027307 else
7503 $as_echo "$as_me: failed program was:" >&5
7504 sed 's/^/| /' conftest.$ac_ext >&5
7505
7506 ac_cv_func_alloca_works=no
7507 fi
7508
7509 rm -rf conftest.dSYM
7510 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
7511 conftest$ac_exeext conftest.$ac_ext
7512 fi
7513 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_alloca_works" >&5
7308 ac_cv_func_alloca_works=no
7309 fi
7310 rm -f core conftest.err conftest.$ac_objext \
7311 conftest$ac_exeext conftest.$ac_ext
7312 fi
7313 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_alloca_works" >&5
75147314 $as_echo "$ac_cv_func_alloca_works" >&6; }
75157315
75167316 if test $ac_cv_func_alloca_works = yes; then
75177317
7518 cat >>confdefs.h <<\_ACEOF
7519 #define HAVE_ALLOCA 1
7520 _ACEOF
7318 $as_echo "#define HAVE_ALLOCA 1" >>confdefs.h
75217319
75227320 else
75237321 # The SVR3 libPW and SVR4 libucb both contain incompatible functions
75277325
75287326 ALLOCA=\${LIBOBJDIR}alloca.$ac_objext
75297327
7530 cat >>confdefs.h <<\_ACEOF
7531 #define C_ALLOCA 1
7532 _ACEOF
7533
7534
7535 { $as_echo "$as_me:$LINENO: checking whether \`alloca.c' needs Cray hooks" >&5
7328 $as_echo "#define C_ALLOCA 1" >>confdefs.h
7329
7330
7331 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether \`alloca.c' needs Cray hooks" >&5
75367332 $as_echo_n "checking whether \`alloca.c' needs Cray hooks... " >&6; }
7537 if test "${ac_cv_os_cray+set}" = set; then
7333 if ${ac_cv_os_cray+:} false; then :
75387334 $as_echo_n "(cached) " >&6
75397335 else
7540 cat >conftest.$ac_ext <<_ACEOF
7541 /* confdefs.h. */
7542 _ACEOF
7543 cat confdefs.h >>conftest.$ac_ext
7544 cat >>conftest.$ac_ext <<_ACEOF
7336 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
75457337 /* end confdefs.h. */
75467338 #if defined CRAY && ! defined CRAY2
75477339 webecray
75517343
75527344 _ACEOF
75537345 if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
7554 $EGREP "webecray" >/dev/null 2>&1; then
7346 $EGREP "webecray" >/dev/null 2>&1; then :
75557347 ac_cv_os_cray=yes
75567348 else
75577349 ac_cv_os_cray=no
75597351 rm -f conftest*
75607352
75617353 fi
7562 { $as_echo "$as_me:$LINENO: result: $ac_cv_os_cray" >&5
7354 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_os_cray" >&5
75637355 $as_echo "$ac_cv_os_cray" >&6; }
75647356 if test $ac_cv_os_cray = yes; then
75657357 for ac_func in _getb67 GETB67 getb67; do
75667358 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
7567 { $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
7568 $as_echo_n "checking for $ac_func... " >&6; }
7569 if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
7570 $as_echo_n "(cached) " >&6
7571 else
7572 cat >conftest.$ac_ext <<_ACEOF
7573 /* confdefs.h. */
7574 _ACEOF
7575 cat confdefs.h >>conftest.$ac_ext
7576 cat >>conftest.$ac_ext <<_ACEOF
7577 /* end confdefs.h. */
7578 /* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
7579 For example, HP-UX 11i <limits.h> declares gettimeofday. */
7580 #define $ac_func innocuous_$ac_func
7581
7582 /* System header to define __stub macros and hopefully few prototypes,
7583 which can conflict with char $ac_func (); below.
7584 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
7585 <limits.h> exists even on freestanding compilers. */
7586
7587 #ifdef __STDC__
7588 # include <limits.h>
7589 #else
7590 # include <assert.h>
7591 #endif
7592
7593 #undef $ac_func
7594
7595 /* Override any GCC internal prototype to avoid an error.
7596 Use char because int might match the return type of a GCC
7597 builtin and then its argument prototype would still apply. */
7598 #ifdef __cplusplus
7599 extern "C"
7600 #endif
7601 char $ac_func ();
7602 /* The GNU C library defines this for functions which it implements
7603 to always fail with ENOSYS. Some functions are actually named
7604 something starting with __ and the normal name is an alias. */
7605 #if defined __stub_$ac_func || defined __stub___$ac_func
7606 choke me
7607 #endif
7608
7609 int
7610 main ()
7611 {
7612 return $ac_func ();
7613 ;
7614 return 0;
7615 }
7616 _ACEOF
7617 rm -f conftest.$ac_objext conftest$ac_exeext
7618 if { (ac_try="$ac_link"
7619 case "(($ac_try" in
7620 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7621 *) ac_try_echo=$ac_try;;
7622 esac
7623 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7624 $as_echo "$ac_try_echo") >&5
7625 (eval "$ac_link") 2>conftest.er1
7626 ac_status=$?
7627 grep -v '^ *+' conftest.er1 >conftest.err
7628 rm -f conftest.er1
7629 cat conftest.err >&5
7630 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7631 (exit $ac_status); } && {
7632 test -z "$ac_c_werror_flag" ||
7633 test ! -s conftest.err
7634 } && test -s conftest$ac_exeext && {
7635 test "$cross_compiling" = yes ||
7636 $as_test_x conftest$ac_exeext
7637 }; then
7638 eval "$as_ac_var=yes"
7639 else
7640 $as_echo "$as_me: failed program was:" >&5
7641 sed 's/^/| /' conftest.$ac_ext >&5
7642
7643 eval "$as_ac_var=no"
7644 fi
7645
7646 rm -rf conftest.dSYM
7647 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
7648 conftest$ac_exeext conftest.$ac_ext
7649 fi
7650 ac_res=`eval 'as_val=${'$as_ac_var'}
7651 $as_echo "$as_val"'`
7652 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
7653 $as_echo "$ac_res" >&6; }
7654 as_val=`eval 'as_val=${'$as_ac_var'}
7655 $as_echo "$as_val"'`
7656 if test "x$as_val" = x""yes; then
7359 ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
7360 if eval test \"x\$"$as_ac_var"\" = x"yes"; then :
76577361
76587362 cat >>confdefs.h <<_ACEOF
76597363 #define CRAY_STACKSEG_END $ac_func
76657369 done
76667370 fi
76677371
7668 { $as_echo "$as_me:$LINENO: checking stack direction for C alloca" >&5
7372 { $as_echo "$as_me:${as_lineno-$LINENO}: checking stack direction for C alloca" >&5
76697373 $as_echo_n "checking stack direction for C alloca... " >&6; }
7670 if test "${ac_cv_c_stack_direction+set}" = set; then
7374 if ${ac_cv_c_stack_direction+:} false; then :
76717375 $as_echo_n "(cached) " >&6
76727376 else
7673 if test "$cross_compiling" = yes; then
7377 if test "$cross_compiling" = yes; then :
76747378 ac_cv_c_stack_direction=0
76757379 else
7676 cat >conftest.$ac_ext <<_ACEOF
7677 /* confdefs.h. */
7678 _ACEOF
7679 cat confdefs.h >>conftest.$ac_ext
7680 cat >>conftest.$ac_ext <<_ACEOF
7380 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
76817381 /* end confdefs.h. */
76827382 $ac_includes_default
76837383 int
7684 find_stack_direction ()
7384 find_stack_direction (int *addr, int depth)
76857385 {
7686 static char *addr = 0;
7687 auto char dummy;
7688 if (addr == 0)
7689 {
7690 addr = &dummy;
7691 return find_stack_direction ();
7692 }
7693 else
7694 return (&dummy > addr) ? 1 : -1;
7386 int dir, dummy = 0;
7387 if (! addr)
7388 addr = &dummy;
7389 *addr = addr < &dummy ? 1 : addr == &dummy ? 0 : -1;
7390 dir = depth ? find_stack_direction (addr, depth - 1) : 0;
7391 return dir + dummy;
76957392 }
76967393
76977394 int
7698 main ()
7395 main (int argc, char **argv)
76997396 {
7700 return find_stack_direction () < 0;
7397 return find_stack_direction (0, argc + !argv + 20) < 0;
77017398 }
77027399 _ACEOF
7703 rm -f conftest$ac_exeext
7704 if { (ac_try="$ac_link"
7705 case "(($ac_try" in
7706 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7707 *) ac_try_echo=$ac_try;;
7708 esac
7709 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7710 $as_echo "$ac_try_echo") >&5
7711 (eval "$ac_link") 2>&5
7712 ac_status=$?
7713 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7714 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
7715 { (case "(($ac_try" in
7716 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7717 *) ac_try_echo=$ac_try;;
7718 esac
7719 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7720 $as_echo "$ac_try_echo") >&5
7721 (eval "$ac_try") 2>&5
7722 ac_status=$?
7723 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7724 (exit $ac_status); }; }; then
7400 if ac_fn_c_try_run "$LINENO"; then :
77257401 ac_cv_c_stack_direction=1
77267402 else
7727 $as_echo "$as_me: program exited with status $ac_status" >&5
7728 $as_echo "$as_me: failed program was:" >&5
7729 sed 's/^/| /' conftest.$ac_ext >&5
7730
7731 ( exit $ac_status )
7732 ac_cv_c_stack_direction=-1
7733 fi
7734 rm -rf conftest.dSYM
7735 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
7736 fi
7737
7738
7739 fi
7740 { $as_echo "$as_me:$LINENO: result: $ac_cv_c_stack_direction" >&5
7403 ac_cv_c_stack_direction=-1
7404 fi
7405 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
7406 conftest.$ac_objext conftest.beam conftest.$ac_ext
7407 fi
7408
7409 fi
7410 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_stack_direction" >&5
77417411 $as_echo "$ac_cv_c_stack_direction" >&6; }
7742
77437412 cat >>confdefs.h <<_ACEOF
77447413 #define STACK_DIRECTION $ac_cv_c_stack_direction
77457414 _ACEOF
77467415
77477416
77487417 fi
7749
7750
7751
7752
7753
77547418
77557419 ac_header_dirent=no
77567420 for ac_hdr in dirent.h sys/ndir.h sys/dir.h ndir.h; do
77577421 as_ac_Header=`$as_echo "ac_cv_header_dirent_$ac_hdr" | $as_tr_sh`
7758 { $as_echo "$as_me:$LINENO: checking for $ac_hdr that defines DIR" >&5
7422 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_hdr that defines DIR" >&5
77597423 $as_echo_n "checking for $ac_hdr that defines DIR... " >&6; }
7760 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
7424 if eval \${$as_ac_Header+:} false; then :
77617425 $as_echo_n "(cached) " >&6
77627426 else
7763 cat >conftest.$ac_ext <<_ACEOF
7764 /* confdefs.h. */
7765 _ACEOF
7766 cat confdefs.h >>conftest.$ac_ext
7767 cat >>conftest.$ac_ext <<_ACEOF
7427 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
77687428 /* end confdefs.h. */
77697429 #include <sys/types.h>
77707430 #include <$ac_hdr>
77787438 return 0;
77797439 }
77807440 _ACEOF
7781 rm -f conftest.$ac_objext
7782 if { (ac_try="$ac_compile"
7783 case "(($ac_try" in
7784 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7785 *) ac_try_echo=$ac_try;;
7786 esac
7787 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7788 $as_echo "$ac_try_echo") >&5
7789 (eval "$ac_compile") 2>conftest.er1
7790 ac_status=$?
7791 grep -v '^ *+' conftest.er1 >conftest.err
7792 rm -f conftest.er1
7793 cat conftest.err >&5
7794 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7795 (exit $ac_status); } && {
7796 test -z "$ac_c_werror_flag" ||
7797 test ! -s conftest.err
7798 } && test -s conftest.$ac_objext; then
7441 if ac_fn_c_try_compile "$LINENO"; then :
77997442 eval "$as_ac_Header=yes"
78007443 else
7801 $as_echo "$as_me: failed program was:" >&5
7802 sed 's/^/| /' conftest.$ac_ext >&5
7803
7804 eval "$as_ac_Header=no"
7805 fi
7806
7444 eval "$as_ac_Header=no"
7445 fi
78077446 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
78087447 fi
7809 ac_res=`eval 'as_val=${'$as_ac_Header'}
7810 $as_echo "$as_val"'`
7811 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
7448 eval ac_res=\$$as_ac_Header
7449 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
78127450 $as_echo "$ac_res" >&6; }
7813 as_val=`eval 'as_val=${'$as_ac_Header'}
7814 $as_echo "$as_val"'`
7815 if test "x$as_val" = x""yes; then
7451 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
78167452 cat >>confdefs.h <<_ACEOF
78177453 #define `$as_echo "HAVE_$ac_hdr" | $as_tr_cpp` 1
78187454 _ACEOF
78237459 done
78247460 # Two versions of opendir et al. are in -ldir and -lx on SCO Xenix.
78257461 if test $ac_header_dirent = dirent.h; then
7826 { $as_echo "$as_me:$LINENO: checking for library containing opendir" >&5
7462 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for library containing opendir" >&5
78277463 $as_echo_n "checking for library containing opendir... " >&6; }
7828 if test "${ac_cv_search_opendir+set}" = set; then
7464 if ${ac_cv_search_opendir+:} false; then :
78297465 $as_echo_n "(cached) " >&6
78307466 else
78317467 ac_func_search_save_LIBS=$LIBS
7832 cat >conftest.$ac_ext <<_ACEOF
7833 /* confdefs.h. */
7834 _ACEOF
7835 cat confdefs.h >>conftest.$ac_ext
7836 cat >>conftest.$ac_ext <<_ACEOF
7468 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
78377469 /* end confdefs.h. */
78387470
78397471 /* Override any GCC internal prototype to avoid an error.
78587490 ac_res=-l$ac_lib
78597491 LIBS="-l$ac_lib $ac_func_search_save_LIBS"
78607492 fi
7861 rm -f conftest.$ac_objext conftest$ac_exeext
7862 if { (ac_try="$ac_link"
7863 case "(($ac_try" in
7864 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7865 *) ac_try_echo=$ac_try;;
7866 esac
7867 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7868 $as_echo "$ac_try_echo") >&5
7869 (eval "$ac_link") 2>conftest.er1
7870 ac_status=$?
7871 grep -v '^ *+' conftest.er1 >conftest.err
7872 rm -f conftest.er1
7873 cat conftest.err >&5
7874 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7875 (exit $ac_status); } && {
7876 test -z "$ac_c_werror_flag" ||
7877 test ! -s conftest.err
7878 } && test -s conftest$ac_exeext && {
7879 test "$cross_compiling" = yes ||
7880 $as_test_x conftest$ac_exeext
7881 }; then
7493 if ac_fn_c_try_link "$LINENO"; then :
78827494 ac_cv_search_opendir=$ac_res
7883 else
7884 $as_echo "$as_me: failed program was:" >&5
7885 sed 's/^/| /' conftest.$ac_ext >&5
7886
7887
7888 fi
7889
7890 rm -rf conftest.dSYM
7891 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
7892 conftest$ac_exeext
7893 if test "${ac_cv_search_opendir+set}" = set; then
7495 fi
7496 rm -f core conftest.err conftest.$ac_objext \
7497 conftest$ac_exeext
7498 if ${ac_cv_search_opendir+:} false; then :
78947499 break
78957500 fi
78967501 done
7897 if test "${ac_cv_search_opendir+set}" = set; then
7898 :
7502 if ${ac_cv_search_opendir+:} false; then :
7503
78997504 else
79007505 ac_cv_search_opendir=no
79017506 fi
79027507 rm conftest.$ac_ext
79037508 LIBS=$ac_func_search_save_LIBS
79047509 fi
7905 { $as_echo "$as_me:$LINENO: result: $ac_cv_search_opendir" >&5
7510 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_search_opendir" >&5
79067511 $as_echo "$ac_cv_search_opendir" >&6; }
79077512 ac_res=$ac_cv_search_opendir
7908 if test "$ac_res" != no; then
7513 if test "$ac_res" != no; then :
79097514 test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
79107515
79117516 fi
79127517
79137518 else
7914 { $as_echo "$as_me:$LINENO: checking for library containing opendir" >&5
7519 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for library containing opendir" >&5
79157520 $as_echo_n "checking for library containing opendir... " >&6; }
7916 if test "${ac_cv_search_opendir+set}" = set; then
7521 if ${ac_cv_search_opendir+:} false; then :
79177522 $as_echo_n "(cached) " >&6
79187523 else
79197524 ac_func_search_save_LIBS=$LIBS
7920 cat >conftest.$ac_ext <<_ACEOF
7921 /* confdefs.h. */
7922 _ACEOF
7923 cat confdefs.h >>conftest.$ac_ext
7924 cat >>conftest.$ac_ext <<_ACEOF
7525 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
79257526 /* end confdefs.h. */
79267527
79277528 /* Override any GCC internal prototype to avoid an error.
79467547 ac_res=-l$ac_lib
79477548 LIBS="-l$ac_lib $ac_func_search_save_LIBS"
79487549 fi
7949 rm -f conftest.$ac_objext conftest$ac_exeext
7950 if { (ac_try="$ac_link"
7951 case "(($ac_try" in
7952 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
7953 *) ac_try_echo=$ac_try;;
7954 esac
7955 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
7956 $as_echo "$ac_try_echo") >&5
7957 (eval "$ac_link") 2>conftest.er1
7958 ac_status=$?
7959 grep -v '^ *+' conftest.er1 >conftest.err
7960 rm -f conftest.er1
7961 cat conftest.err >&5
7962 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
7963 (exit $ac_status); } && {
7964 test -z "$ac_c_werror_flag" ||
7965 test ! -s conftest.err
7966 } && test -s conftest$ac_exeext && {
7967 test "$cross_compiling" = yes ||
7968 $as_test_x conftest$ac_exeext
7969 }; then
7550 if ac_fn_c_try_link "$LINENO"; then :
79707551 ac_cv_search_opendir=$ac_res
7971 else
7972 $as_echo "$as_me: failed program was:" >&5
7973 sed 's/^/| /' conftest.$ac_ext >&5
7974
7975
7976 fi
7977
7978 rm -rf conftest.dSYM
7979 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
7980 conftest$ac_exeext
7981 if test "${ac_cv_search_opendir+set}" = set; then
7552 fi
7553 rm -f core conftest.err conftest.$ac_objext \
7554 conftest$ac_exeext
7555 if ${ac_cv_search_opendir+:} false; then :
79827556 break
79837557 fi
79847558 done
7985 if test "${ac_cv_search_opendir+set}" = set; then
7986 :
7559 if ${ac_cv_search_opendir+:} false; then :
7560
79877561 else
79887562 ac_cv_search_opendir=no
79897563 fi
79907564 rm conftest.$ac_ext
79917565 LIBS=$ac_func_search_save_LIBS
79927566 fi
7993 { $as_echo "$as_me:$LINENO: result: $ac_cv_search_opendir" >&5
7567 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_search_opendir" >&5
79947568 $as_echo "$ac_cv_search_opendir" >&6; }
79957569 ac_res=$ac_cv_search_opendir
7996 if test "$ac_res" != no; then
7570 if test "$ac_res" != no; then :
79977571 test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
79987572
79997573 fi
80007574
80017575 fi
80027576
8003 { $as_echo "$as_me:$LINENO: checking for ANSI C header files" >&5
7577 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5
80047578 $as_echo_n "checking for ANSI C header files... " >&6; }
8005 if test "${ac_cv_header_stdc+set}" = set; then
7579 if ${ac_cv_header_stdc+:} false; then :
80067580 $as_echo_n "(cached) " >&6
80077581 else
8008 cat >conftest.$ac_ext <<_ACEOF
8009 /* confdefs.h. */
8010 _ACEOF
8011 cat confdefs.h >>conftest.$ac_ext
8012 cat >>conftest.$ac_ext <<_ACEOF
7582 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
80137583 /* end confdefs.h. */
80147584 #include <stdlib.h>
80157585 #include <stdarg.h>
80247594 return 0;
80257595 }
80267596 _ACEOF
8027 rm -f conftest.$ac_objext
8028 if { (ac_try="$ac_compile"
8029 case "(($ac_try" in
8030 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8031 *) ac_try_echo=$ac_try;;
8032 esac
8033 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8034 $as_echo "$ac_try_echo") >&5
8035 (eval "$ac_compile") 2>conftest.er1
8036 ac_status=$?
8037 grep -v '^ *+' conftest.er1 >conftest.err
8038 rm -f conftest.er1
8039 cat conftest.err >&5
8040 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8041 (exit $ac_status); } && {
8042 test -z "$ac_c_werror_flag" ||
8043 test ! -s conftest.err
8044 } && test -s conftest.$ac_objext; then
7597 if ac_fn_c_try_compile "$LINENO"; then :
80457598 ac_cv_header_stdc=yes
80467599 else
8047 $as_echo "$as_me: failed program was:" >&5
8048 sed 's/^/| /' conftest.$ac_ext >&5
8049
8050 ac_cv_header_stdc=no
8051 fi
8052
7600 ac_cv_header_stdc=no
7601 fi
80537602 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
80547603
80557604 if test $ac_cv_header_stdc = yes; then
80567605 # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
8057 cat >conftest.$ac_ext <<_ACEOF
8058 /* confdefs.h. */
8059 _ACEOF
8060 cat confdefs.h >>conftest.$ac_ext
8061 cat >>conftest.$ac_ext <<_ACEOF
7606 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
80627607 /* end confdefs.h. */
80637608 #include <string.h>
80647609
80657610 _ACEOF
80667611 if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
8067 $EGREP "memchr" >/dev/null 2>&1; then
8068 :
7612 $EGREP "memchr" >/dev/null 2>&1; then :
7613
80697614 else
80707615 ac_cv_header_stdc=no
80717616 fi
80757620
80767621 if test $ac_cv_header_stdc = yes; then
80777622 # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
8078 cat >conftest.$ac_ext <<_ACEOF
8079 /* confdefs.h. */
8080 _ACEOF
8081 cat confdefs.h >>conftest.$ac_ext
8082 cat >>conftest.$ac_ext <<_ACEOF
7623 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
80837624 /* end confdefs.h. */
80847625 #include <stdlib.h>
80857626
80867627 _ACEOF
80877628 if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
8088 $EGREP "free" >/dev/null 2>&1; then
8089 :
7629 $EGREP "free" >/dev/null 2>&1; then :
7630
80907631 else
80917632 ac_cv_header_stdc=no
80927633 fi
80967637
80977638 if test $ac_cv_header_stdc = yes; then
80987639 # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
8099 if test "$cross_compiling" = yes; then
7640 if test "$cross_compiling" = yes; then :
81007641 :
81017642 else
8102 cat >conftest.$ac_ext <<_ACEOF
8103 /* confdefs.h. */
8104 _ACEOF
8105 cat confdefs.h >>conftest.$ac_ext
8106 cat >>conftest.$ac_ext <<_ACEOF
7643 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
81077644 /* end confdefs.h. */
81087645 #include <ctype.h>
81097646 #include <stdlib.h>
81307667 return 0;
81317668 }
81327669 _ACEOF
8133 rm -f conftest$ac_exeext
8134 if { (ac_try="$ac_link"
8135 case "(($ac_try" in
8136 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8137 *) ac_try_echo=$ac_try;;
8138 esac
8139 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8140 $as_echo "$ac_try_echo") >&5
8141 (eval "$ac_link") 2>&5
8142 ac_status=$?
8143 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8144 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
8145 { (case "(($ac_try" in
8146 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8147 *) ac_try_echo=$ac_try;;
8148 esac
8149 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8150 $as_echo "$ac_try_echo") >&5
8151 (eval "$ac_try") 2>&5
8152 ac_status=$?
8153 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8154 (exit $ac_status); }; }; then
8155 :
8156 else
8157 $as_echo "$as_me: program exited with status $ac_status" >&5
8158 $as_echo "$as_me: failed program was:" >&5
8159 sed 's/^/| /' conftest.$ac_ext >&5
8160
8161 ( exit $ac_status )
8162 ac_cv_header_stdc=no
8163 fi
8164 rm -rf conftest.dSYM
8165 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
8166 fi
8167
8168
8169 fi
8170 fi
8171 { $as_echo "$as_me:$LINENO: result: $ac_cv_header_stdc" >&5
7670 if ac_fn_c_try_run "$LINENO"; then :
7671
7672 else
7673 ac_cv_header_stdc=no
7674 fi
7675 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
7676 conftest.$ac_objext conftest.beam conftest.$ac_ext
7677 fi
7678
7679 fi
7680 fi
7681 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_stdc" >&5
81727682 $as_echo "$ac_cv_header_stdc" >&6; }
81737683 if test $ac_cv_header_stdc = yes; then
81747684
8175 cat >>confdefs.h <<\_ACEOF
8176 #define STDC_HEADERS 1
8177 _ACEOF
8178
8179 fi
8180
8181 { $as_echo "$as_me:$LINENO: checking for sys/wait.h that is POSIX.1 compatible" >&5
7685 $as_echo "#define STDC_HEADERS 1" >>confdefs.h
7686
7687 fi
7688
7689 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for sys/wait.h that is POSIX.1 compatible" >&5
81827690 $as_echo_n "checking for sys/wait.h that is POSIX.1 compatible... " >&6; }
8183 if test "${ac_cv_header_sys_wait_h+set}" = set; then
7691 if ${ac_cv_header_sys_wait_h+:} false; then :
81847692 $as_echo_n "(cached) " >&6
81857693 else
8186 cat >conftest.$ac_ext <<_ACEOF
8187 /* confdefs.h. */
8188 _ACEOF
8189 cat confdefs.h >>conftest.$ac_ext
8190 cat >>conftest.$ac_ext <<_ACEOF
7694 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
81917695 /* end confdefs.h. */
81927696 #include <sys/types.h>
81937697 #include <sys/wait.h>
82087712 return 0;
82097713 }
82107714 _ACEOF
8211 rm -f conftest.$ac_objext
8212 if { (ac_try="$ac_compile"
8213 case "(($ac_try" in
8214 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8215 *) ac_try_echo=$ac_try;;
8216 esac
8217 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8218 $as_echo "$ac_try_echo") >&5
8219 (eval "$ac_compile") 2>conftest.er1
8220 ac_status=$?
8221 grep -v '^ *+' conftest.er1 >conftest.err
8222 rm -f conftest.er1
8223 cat conftest.err >&5
8224 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8225 (exit $ac_status); } && {
8226 test -z "$ac_c_werror_flag" ||
8227 test ! -s conftest.err
8228 } && test -s conftest.$ac_objext; then
7715 if ac_fn_c_try_compile "$LINENO"; then :
82297716 ac_cv_header_sys_wait_h=yes
82307717 else
8231 $as_echo "$as_me: failed program was:" >&5
8232 sed 's/^/| /' conftest.$ac_ext >&5
8233
8234 ac_cv_header_sys_wait_h=no
8235 fi
8236
7718 ac_cv_header_sys_wait_h=no
7719 fi
82377720 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
82387721 fi
8239 { $as_echo "$as_me:$LINENO: result: $ac_cv_header_sys_wait_h" >&5
7722 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_sys_wait_h" >&5
82407723 $as_echo "$ac_cv_header_sys_wait_h" >&6; }
82417724 if test $ac_cv_header_sys_wait_h = yes; then
82427725
8243 cat >>confdefs.h <<\_ACEOF
8244 #define HAVE_SYS_WAIT_H 1
8245 _ACEOF
8246
8247 fi
8248
8249
8250
8251
8252
8253
8254
8255
8256
8257
8258
8259
8260
8261
8262
7726 $as_echo "#define HAVE_SYS_WAIT_H 1" >>confdefs.h
7727
7728 fi
82637729
82647730 for ac_header in fcntl.h inttypes.h libintl.h limits.h malloc.h stddef.h stdint.h stdlib.h string.h strings.h sys/time.h unistd.h wchar.h wctype.h getopt.h
8265 do
8266 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
8267 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
8268 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
8269 $as_echo_n "checking for $ac_header... " >&6; }
8270 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
8271 $as_echo_n "(cached) " >&6
8272 fi
8273 ac_res=`eval 'as_val=${'$as_ac_Header'}
8274 $as_echo "$as_val"'`
8275 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
8276 $as_echo "$ac_res" >&6; }
8277 else
8278 # Is the header compilable?
8279 { $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
8280 $as_echo_n "checking $ac_header usability... " >&6; }
8281 cat >conftest.$ac_ext <<_ACEOF
8282 /* confdefs.h. */
8283 _ACEOF
8284 cat confdefs.h >>conftest.$ac_ext
8285 cat >>conftest.$ac_ext <<_ACEOF
8286 /* end confdefs.h. */
8287 $ac_includes_default
8288 #include <$ac_header>
8289 _ACEOF
8290 rm -f conftest.$ac_objext
8291 if { (ac_try="$ac_compile"
8292 case "(($ac_try" in
8293 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8294 *) ac_try_echo=$ac_try;;
8295 esac
8296 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8297 $as_echo "$ac_try_echo") >&5
8298 (eval "$ac_compile") 2>conftest.er1
8299 ac_status=$?
8300 grep -v '^ *+' conftest.er1 >conftest.err
8301 rm -f conftest.er1
8302 cat conftest.err >&5
8303 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8304 (exit $ac_status); } && {
8305 test -z "$ac_c_werror_flag" ||
8306 test ! -s conftest.err
8307 } && test -s conftest.$ac_objext; then
8308 ac_header_compiler=yes
8309 else
8310 $as_echo "$as_me: failed program was:" >&5
8311 sed 's/^/| /' conftest.$ac_ext >&5
8312
8313 ac_header_compiler=no
8314 fi
8315
8316 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8317 { $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
8318 $as_echo "$ac_header_compiler" >&6; }
8319
8320 # Is the header present?
8321 { $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
8322 $as_echo_n "checking $ac_header presence... " >&6; }
8323 cat >conftest.$ac_ext <<_ACEOF
8324 /* confdefs.h. */
8325 _ACEOF
8326 cat confdefs.h >>conftest.$ac_ext
8327 cat >>conftest.$ac_ext <<_ACEOF
8328 /* end confdefs.h. */
8329 #include <$ac_header>
8330 _ACEOF
8331 if { (ac_try="$ac_cpp conftest.$ac_ext"
8332 case "(($ac_try" in
8333 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8334 *) ac_try_echo=$ac_try;;
8335 esac
8336 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8337 $as_echo "$ac_try_echo") >&5
8338 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
8339 ac_status=$?
8340 grep -v '^ *+' conftest.er1 >conftest.err
8341 rm -f conftest.er1
8342 cat conftest.err >&5
8343 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8344 (exit $ac_status); } >/dev/null && {
8345 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
8346 test ! -s conftest.err
8347 }; then
8348 ac_header_preproc=yes
8349 else
8350 $as_echo "$as_me: failed program was:" >&5
8351 sed 's/^/| /' conftest.$ac_ext >&5
8352
8353 ac_header_preproc=no
8354 fi
8355
8356 rm -f conftest.err conftest.$ac_ext
8357 { $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
8358 $as_echo "$ac_header_preproc" >&6; }
8359
8360 # So? What about this header?
8361 case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
8362 yes:no: )
8363 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
8364 $as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
8365 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
8366 $as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
8367 ac_header_preproc=yes
8368 ;;
8369 no:yes:* )
8370 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
8371 $as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
8372 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: check for missing prerequisite headers?" >&5
8373 $as_echo "$as_me: WARNING: $ac_header: check for missing prerequisite headers?" >&2;}
8374 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
8375 $as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
8376 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&5
8377 $as_echo "$as_me: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&2;}
8378 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
8379 $as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
8380 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
8381 $as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
8382 ( cat <<\_ASBOX
8383 ## ------------------------------------ ##
8384 ## Report this to bybell@rocketmail.com ##
8385 ## ------------------------------------ ##
8386 _ASBOX
8387 ) | sed "s/^/$as_me: WARNING: /" >&2
8388 ;;
8389 esac
8390 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
8391 $as_echo_n "checking for $ac_header... " >&6; }
8392 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
8393 $as_echo_n "(cached) " >&6
8394 else
8395 eval "$as_ac_Header=\$ac_header_preproc"
8396 fi
8397 ac_res=`eval 'as_val=${'$as_ac_Header'}
8398 $as_echo "$as_val"'`
8399 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
8400 $as_echo "$ac_res" >&6; }
8401
8402 fi
8403 as_val=`eval 'as_val=${'$as_ac_Header'}
8404 $as_echo "$as_val"'`
8405 if test "x$as_val" = x""yes; then
7731 do :
7732 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
7733 ac_fn_c_check_header_mongrel "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default"
7734 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
84067735 cat >>confdefs.h <<_ACEOF
84077736 #define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
84087737 _ACEOF
84137742
84147743
84157744 # rpc workaround for cygwin
8416
8417
84187745 for ac_header in rpc/types.h rpc/xdr.h
8419 do
8420 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
8421 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
8422 $as_echo_n "checking for $ac_header... " >&6; }
8423 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
8424 $as_echo_n "(cached) " >&6
8425 else
8426 cat >conftest.$ac_ext <<_ACEOF
8427 /* confdefs.h. */
8428 _ACEOF
8429 cat confdefs.h >>conftest.$ac_ext
8430 cat >>conftest.$ac_ext <<_ACEOF
8431 /* end confdefs.h. */
8432 #ifdef HAVE_RPC_TYPES_H
7746 do :
7747 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
7748 ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "#ifdef HAVE_RPC_TYPES_H
84337749 # include <rpc/types.h>
84347750 #endif
84357751
8436
8437 #include <$ac_header>
8438 _ACEOF
8439 rm -f conftest.$ac_objext
8440 if { (ac_try="$ac_compile"
8441 case "(($ac_try" in
8442 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8443 *) ac_try_echo=$ac_try;;
8444 esac
8445 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8446 $as_echo "$ac_try_echo") >&5
8447 (eval "$ac_compile") 2>conftest.er1
8448 ac_status=$?
8449 grep -v '^ *+' conftest.er1 >conftest.err
8450 rm -f conftest.er1
8451 cat conftest.err >&5
8452 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8453 (exit $ac_status); } && {
8454 test -z "$ac_c_werror_flag" ||
8455 test ! -s conftest.err
8456 } && test -s conftest.$ac_objext; then
8457 eval "$as_ac_Header=yes"
8458 else
8459 $as_echo "$as_me: failed program was:" >&5
8460 sed 's/^/| /' conftest.$ac_ext >&5
8461
8462 eval "$as_ac_Header=no"
8463 fi
8464
8465 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8466 fi
8467 ac_res=`eval 'as_val=${'$as_ac_Header'}
8468 $as_echo "$as_val"'`
8469 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
8470 $as_echo "$ac_res" >&6; }
8471 as_val=`eval 'as_val=${'$as_ac_Header'}
8472 $as_echo "$as_val"'`
8473 if test "x$as_val" = x""yes; then
7752 "
7753 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
84747754 cat >>confdefs.h <<_ACEOF
84757755 #define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
84767756 _ACEOF
84867766 # version HP92453-01 B.11.11.23709.GP, which incorrectly rejects
84877767 # declarations like `int a3[[(sizeof (unsigned char)) >= 0]];'.
84887768 # This bug is HP SR number 8606223364.
8489 { $as_echo "$as_me:$LINENO: checking size of void *" >&5
7769 { $as_echo "$as_me:${as_lineno-$LINENO}: checking size of void *" >&5
84907770 $as_echo_n "checking size of void *... " >&6; }
8491 if test "${ac_cv_sizeof_void_p+set}" = set; then
7771 if ${ac_cv_sizeof_void_p+:} false; then :
84927772 $as_echo_n "(cached) " >&6
84937773 else
8494 if test "$cross_compiling" = yes; then
8495 # Depending upon the size, compute the lo and hi bounds.
8496 cat >conftest.$ac_ext <<_ACEOF
8497 /* confdefs.h. */
8498 _ACEOF
8499 cat confdefs.h >>conftest.$ac_ext
8500 cat >>conftest.$ac_ext <<_ACEOF
8501 /* end confdefs.h. */
8502 $ac_includes_default
8503 int
8504 main ()
8505 {
8506 static int test_array [1 - 2 * !(((long int) (sizeof (void *))) >= 0)];
8507 test_array [0] = 0
8508
8509 ;
8510 return 0;
8511 }
8512 _ACEOF
8513 rm -f conftest.$ac_objext
8514 if { (ac_try="$ac_compile"
8515 case "(($ac_try" in
8516 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8517 *) ac_try_echo=$ac_try;;
8518 esac
8519 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8520 $as_echo "$ac_try_echo") >&5
8521 (eval "$ac_compile") 2>conftest.er1
8522 ac_status=$?
8523 grep -v '^ *+' conftest.er1 >conftest.err
8524 rm -f conftest.er1
8525 cat conftest.err >&5
8526 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8527 (exit $ac_status); } && {
8528 test -z "$ac_c_werror_flag" ||
8529 test ! -s conftest.err
8530 } && test -s conftest.$ac_objext; then
8531 ac_lo=0 ac_mid=0
8532 while :; do
8533 cat >conftest.$ac_ext <<_ACEOF
8534 /* confdefs.h. */
8535 _ACEOF
8536 cat confdefs.h >>conftest.$ac_ext
8537 cat >>conftest.$ac_ext <<_ACEOF
8538 /* end confdefs.h. */
8539 $ac_includes_default
8540 int
8541 main ()
8542 {
8543 static int test_array [1 - 2 * !(((long int) (sizeof (void *))) <= $ac_mid)];
8544 test_array [0] = 0
8545
8546 ;
8547 return 0;
8548 }
8549 _ACEOF
8550 rm -f conftest.$ac_objext
8551 if { (ac_try="$ac_compile"
8552 case "(($ac_try" in
8553 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8554 *) ac_try_echo=$ac_try;;
8555 esac
8556 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8557 $as_echo "$ac_try_echo") >&5
8558 (eval "$ac_compile") 2>conftest.er1
8559 ac_status=$?
8560 grep -v '^ *+' conftest.er1 >conftest.err
8561 rm -f conftest.er1
8562 cat conftest.err >&5
8563 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8564 (exit $ac_status); } && {
8565 test -z "$ac_c_werror_flag" ||
8566 test ! -s conftest.err
8567 } && test -s conftest.$ac_objext; then
8568 ac_hi=$ac_mid; break
8569 else
8570 $as_echo "$as_me: failed program was:" >&5
8571 sed 's/^/| /' conftest.$ac_ext >&5
8572
8573 ac_lo=`expr $ac_mid + 1`
8574 if test $ac_lo -le $ac_mid; then
8575 ac_lo= ac_hi=
8576 break
8577 fi
8578 ac_mid=`expr 2 '*' $ac_mid + 1`
8579 fi
8580
8581 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8582 done
8583 else
8584 $as_echo "$as_me: failed program was:" >&5
8585 sed 's/^/| /' conftest.$ac_ext >&5
8586
8587 cat >conftest.$ac_ext <<_ACEOF
8588 /* confdefs.h. */
8589 _ACEOF
8590 cat confdefs.h >>conftest.$ac_ext
8591 cat >>conftest.$ac_ext <<_ACEOF
8592 /* end confdefs.h. */
8593 $ac_includes_default
8594 int
8595 main ()
8596 {
8597 static int test_array [1 - 2 * !(((long int) (sizeof (void *))) < 0)];
8598 test_array [0] = 0
8599
8600 ;
8601 return 0;
8602 }
8603 _ACEOF
8604 rm -f conftest.$ac_objext
8605 if { (ac_try="$ac_compile"
8606 case "(($ac_try" in
8607 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8608 *) ac_try_echo=$ac_try;;
8609 esac
8610 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8611 $as_echo "$ac_try_echo") >&5
8612 (eval "$ac_compile") 2>conftest.er1
8613 ac_status=$?
8614 grep -v '^ *+' conftest.er1 >conftest.err
8615 rm -f conftest.er1
8616 cat conftest.err >&5
8617 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8618 (exit $ac_status); } && {
8619 test -z "$ac_c_werror_flag" ||
8620 test ! -s conftest.err
8621 } && test -s conftest.$ac_objext; then
8622 ac_hi=-1 ac_mid=-1
8623 while :; do
8624 cat >conftest.$ac_ext <<_ACEOF
8625 /* confdefs.h. */
8626 _ACEOF
8627 cat confdefs.h >>conftest.$ac_ext
8628 cat >>conftest.$ac_ext <<_ACEOF
8629 /* end confdefs.h. */
8630 $ac_includes_default
8631 int
8632 main ()
8633 {
8634 static int test_array [1 - 2 * !(((long int) (sizeof (void *))) >= $ac_mid)];
8635 test_array [0] = 0
8636
8637 ;
8638 return 0;
8639 }
8640 _ACEOF
8641 rm -f conftest.$ac_objext
8642 if { (ac_try="$ac_compile"
8643 case "(($ac_try" in
8644 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8645 *) ac_try_echo=$ac_try;;
8646 esac
8647 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8648 $as_echo "$ac_try_echo") >&5
8649 (eval "$ac_compile") 2>conftest.er1
8650 ac_status=$?
8651 grep -v '^ *+' conftest.er1 >conftest.err
8652 rm -f conftest.er1
8653 cat conftest.err >&5
8654 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8655 (exit $ac_status); } && {
8656 test -z "$ac_c_werror_flag" ||
8657 test ! -s conftest.err
8658 } && test -s conftest.$ac_objext; then
8659 ac_lo=$ac_mid; break
8660 else
8661 $as_echo "$as_me: failed program was:" >&5
8662 sed 's/^/| /' conftest.$ac_ext >&5
8663
8664 ac_hi=`expr '(' $ac_mid ')' - 1`
8665 if test $ac_mid -le $ac_hi; then
8666 ac_lo= ac_hi=
8667 break
8668 fi
8669 ac_mid=`expr 2 '*' $ac_mid`
8670 fi
8671
8672 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8673 done
8674 else
8675 $as_echo "$as_me: failed program was:" >&5
8676 sed 's/^/| /' conftest.$ac_ext >&5
8677
8678 ac_lo= ac_hi=
8679 fi
8680
8681 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8682 fi
8683
8684 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8685 # Binary search between lo and hi bounds.
8686 while test "x$ac_lo" != "x$ac_hi"; do
8687 ac_mid=`expr '(' $ac_hi - $ac_lo ')' / 2 + $ac_lo`
8688 cat >conftest.$ac_ext <<_ACEOF
8689 /* confdefs.h. */
8690 _ACEOF
8691 cat confdefs.h >>conftest.$ac_ext
8692 cat >>conftest.$ac_ext <<_ACEOF
8693 /* end confdefs.h. */
8694 $ac_includes_default
8695 int
8696 main ()
8697 {
8698 static int test_array [1 - 2 * !(((long int) (sizeof (void *))) <= $ac_mid)];
8699 test_array [0] = 0
8700
8701 ;
8702 return 0;
8703 }
8704 _ACEOF
8705 rm -f conftest.$ac_objext
8706 if { (ac_try="$ac_compile"
8707 case "(($ac_try" in
8708 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8709 *) ac_try_echo=$ac_try;;
8710 esac
8711 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8712 $as_echo "$ac_try_echo") >&5
8713 (eval "$ac_compile") 2>conftest.er1
8714 ac_status=$?
8715 grep -v '^ *+' conftest.er1 >conftest.err
8716 rm -f conftest.er1
8717 cat conftest.err >&5
8718 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8719 (exit $ac_status); } && {
8720 test -z "$ac_c_werror_flag" ||
8721 test ! -s conftest.err
8722 } && test -s conftest.$ac_objext; then
8723 ac_hi=$ac_mid
8724 else
8725 $as_echo "$as_me: failed program was:" >&5
8726 sed 's/^/| /' conftest.$ac_ext >&5
8727
8728 ac_lo=`expr '(' $ac_mid ')' + 1`
8729 fi
8730
8731 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8732 done
8733 case $ac_lo in
8734 ?*) ac_cv_sizeof_void_p=$ac_lo;;
8735 '') if test "$ac_cv_type_void_p" = yes; then
8736 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
7774 if ac_fn_c_compute_int "$LINENO" "(long int) (sizeof (void *))" "ac_cv_sizeof_void_p" "$ac_includes_default"; then :
7775
7776 else
7777 if test "$ac_cv_type_void_p" = yes; then
7778 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
87377779 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
8738 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (void *)
8739 See \`config.log' for more details." >&5
8740 $as_echo "$as_me: error: cannot compute sizeof (void *)
8741 See \`config.log' for more details." >&2;}
8742 { (exit 77); exit 77; }; }; }
8743 else
8744 ac_cv_sizeof_void_p=0
8745 fi ;;
8746 esac
8747 else
8748 cat >conftest.$ac_ext <<_ACEOF
8749 /* confdefs.h. */
8750 _ACEOF
8751 cat confdefs.h >>conftest.$ac_ext
8752 cat >>conftest.$ac_ext <<_ACEOF
8753 /* end confdefs.h. */
8754 $ac_includes_default
8755 static long int longval () { return (long int) (sizeof (void *)); }
8756 static unsigned long int ulongval () { return (long int) (sizeof (void *)); }
8757 #include <stdio.h>
8758 #include <stdlib.h>
8759 int
8760 main ()
8761 {
8762
8763 FILE *f = fopen ("conftest.val", "w");
8764 if (! f)
8765 return 1;
8766 if (((long int) (sizeof (void *))) < 0)
8767 {
8768 long int i = longval ();
8769 if (i != ((long int) (sizeof (void *))))
8770 return 1;
8771 fprintf (f, "%ld", i);
8772 }
8773 else
8774 {
8775 unsigned long int i = ulongval ();
8776 if (i != ((long int) (sizeof (void *))))
8777 return 1;
8778 fprintf (f, "%lu", i);
8779 }
8780 /* Do not output a trailing newline, as this causes \r\n confusion
8781 on some platforms. */
8782 return ferror (f) || fclose (f) != 0;
8783
8784 ;
8785 return 0;
8786 }
8787 _ACEOF
8788 rm -f conftest$ac_exeext
8789 if { (ac_try="$ac_link"
8790 case "(($ac_try" in
8791 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8792 *) ac_try_echo=$ac_try;;
8793 esac
8794 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8795 $as_echo "$ac_try_echo") >&5
8796 (eval "$ac_link") 2>&5
8797 ac_status=$?
8798 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8799 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
8800 { (case "(($ac_try" in
8801 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8802 *) ac_try_echo=$ac_try;;
8803 esac
8804 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8805 $as_echo "$ac_try_echo") >&5
8806 (eval "$ac_try") 2>&5
8807 ac_status=$?
8808 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8809 (exit $ac_status); }; }; then
8810 ac_cv_sizeof_void_p=`cat conftest.val`
8811 else
8812 $as_echo "$as_me: program exited with status $ac_status" >&5
8813 $as_echo "$as_me: failed program was:" >&5
8814 sed 's/^/| /' conftest.$ac_ext >&5
8815
8816 ( exit $ac_status )
8817 if test "$ac_cv_type_void_p" = yes; then
8818 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
8819 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
8820 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (void *)
8821 See \`config.log' for more details." >&5
8822 $as_echo "$as_me: error: cannot compute sizeof (void *)
8823 See \`config.log' for more details." >&2;}
8824 { (exit 77); exit 77; }; }; }
7780 as_fn_error 77 "cannot compute sizeof (void *)
7781 See \`config.log' for more details" "$LINENO" 5; }
88257782 else
88267783 ac_cv_sizeof_void_p=0
88277784 fi
88287785 fi
8829 rm -rf conftest.dSYM
8830 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
8831 fi
8832 rm -f conftest.val
8833 fi
8834 { $as_echo "$as_me:$LINENO: result: $ac_cv_sizeof_void_p" >&5
7786
7787 fi
7788 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sizeof_void_p" >&5
88357789 $as_echo "$ac_cv_sizeof_void_p" >&6; }
88367790
88377791
88457799 # version HP92453-01 B.11.11.23709.GP, which incorrectly rejects
88467800 # declarations like `int a3[[(sizeof (unsigned char)) >= 0]];'.
88477801 # This bug is HP SR number 8606223364.
8848 { $as_echo "$as_me:$LINENO: checking size of double" >&5
7802 { $as_echo "$as_me:${as_lineno-$LINENO}: checking size of double" >&5
88497803 $as_echo_n "checking size of double... " >&6; }
8850 if test "${ac_cv_sizeof_double+set}" = set; then
7804 if ${ac_cv_sizeof_double+:} false; then :
88517805 $as_echo_n "(cached) " >&6
88527806 else
8853 if test "$cross_compiling" = yes; then
8854 # Depending upon the size, compute the lo and hi bounds.
8855 cat >conftest.$ac_ext <<_ACEOF
8856 /* confdefs.h. */
8857 _ACEOF
8858 cat confdefs.h >>conftest.$ac_ext
8859 cat >>conftest.$ac_ext <<_ACEOF
8860 /* end confdefs.h. */
8861 $ac_includes_default
8862 int
8863 main ()
8864 {
8865 static int test_array [1 - 2 * !(((long int) (sizeof (double))) >= 0)];
8866 test_array [0] = 0
8867
8868 ;
8869 return 0;
8870 }
8871 _ACEOF
8872 rm -f conftest.$ac_objext
8873 if { (ac_try="$ac_compile"
8874 case "(($ac_try" in
8875 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8876 *) ac_try_echo=$ac_try;;
8877 esac
8878 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8879 $as_echo "$ac_try_echo") >&5
8880 (eval "$ac_compile") 2>conftest.er1
8881 ac_status=$?
8882 grep -v '^ *+' conftest.er1 >conftest.err
8883 rm -f conftest.er1
8884 cat conftest.err >&5
8885 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8886 (exit $ac_status); } && {
8887 test -z "$ac_c_werror_flag" ||
8888 test ! -s conftest.err
8889 } && test -s conftest.$ac_objext; then
8890 ac_lo=0 ac_mid=0
8891 while :; do
8892 cat >conftest.$ac_ext <<_ACEOF
8893 /* confdefs.h. */
8894 _ACEOF
8895 cat confdefs.h >>conftest.$ac_ext
8896 cat >>conftest.$ac_ext <<_ACEOF
8897 /* end confdefs.h. */
8898 $ac_includes_default
8899 int
8900 main ()
8901 {
8902 static int test_array [1 - 2 * !(((long int) (sizeof (double))) <= $ac_mid)];
8903 test_array [0] = 0
8904
8905 ;
8906 return 0;
8907 }
8908 _ACEOF
8909 rm -f conftest.$ac_objext
8910 if { (ac_try="$ac_compile"
8911 case "(($ac_try" in
8912 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8913 *) ac_try_echo=$ac_try;;
8914 esac
8915 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8916 $as_echo "$ac_try_echo") >&5
8917 (eval "$ac_compile") 2>conftest.er1
8918 ac_status=$?
8919 grep -v '^ *+' conftest.er1 >conftest.err
8920 rm -f conftest.er1
8921 cat conftest.err >&5
8922 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8923 (exit $ac_status); } && {
8924 test -z "$ac_c_werror_flag" ||
8925 test ! -s conftest.err
8926 } && test -s conftest.$ac_objext; then
8927 ac_hi=$ac_mid; break
8928 else
8929 $as_echo "$as_me: failed program was:" >&5
8930 sed 's/^/| /' conftest.$ac_ext >&5
8931
8932 ac_lo=`expr $ac_mid + 1`
8933 if test $ac_lo -le $ac_mid; then
8934 ac_lo= ac_hi=
8935 break
8936 fi
8937 ac_mid=`expr 2 '*' $ac_mid + 1`
8938 fi
8939
8940 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
8941 done
8942 else
8943 $as_echo "$as_me: failed program was:" >&5
8944 sed 's/^/| /' conftest.$ac_ext >&5
8945
8946 cat >conftest.$ac_ext <<_ACEOF
8947 /* confdefs.h. */
8948 _ACEOF
8949 cat confdefs.h >>conftest.$ac_ext
8950 cat >>conftest.$ac_ext <<_ACEOF
8951 /* end confdefs.h. */
8952 $ac_includes_default
8953 int
8954 main ()
8955 {
8956 static int test_array [1 - 2 * !(((long int) (sizeof (double))) < 0)];
8957 test_array [0] = 0
8958
8959 ;
8960 return 0;
8961 }
8962 _ACEOF
8963 rm -f conftest.$ac_objext
8964 if { (ac_try="$ac_compile"
8965 case "(($ac_try" in
8966 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
8967 *) ac_try_echo=$ac_try;;
8968 esac
8969 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
8970 $as_echo "$ac_try_echo") >&5
8971 (eval "$ac_compile") 2>conftest.er1
8972 ac_status=$?
8973 grep -v '^ *+' conftest.er1 >conftest.err
8974 rm -f conftest.er1
8975 cat conftest.err >&5
8976 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
8977 (exit $ac_status); } && {
8978 test -z "$ac_c_werror_flag" ||
8979 test ! -s conftest.err
8980 } && test -s conftest.$ac_objext; then
8981 ac_hi=-1 ac_mid=-1
8982 while :; do
8983 cat >conftest.$ac_ext <<_ACEOF
8984 /* confdefs.h. */
8985 _ACEOF
8986 cat confdefs.h >>conftest.$ac_ext
8987 cat >>conftest.$ac_ext <<_ACEOF
8988 /* end confdefs.h. */
8989 $ac_includes_default
8990 int
8991 main ()
8992 {
8993 static int test_array [1 - 2 * !(((long int) (sizeof (double))) >= $ac_mid)];
8994 test_array [0] = 0
8995
8996 ;
8997 return 0;
8998 }
8999 _ACEOF
9000 rm -f conftest.$ac_objext
9001 if { (ac_try="$ac_compile"
9002 case "(($ac_try" in
9003 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9004 *) ac_try_echo=$ac_try;;
9005 esac
9006 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9007 $as_echo "$ac_try_echo") >&5
9008 (eval "$ac_compile") 2>conftest.er1
9009 ac_status=$?
9010 grep -v '^ *+' conftest.er1 >conftest.err
9011 rm -f conftest.er1
9012 cat conftest.err >&5
9013 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9014 (exit $ac_status); } && {
9015 test -z "$ac_c_werror_flag" ||
9016 test ! -s conftest.err
9017 } && test -s conftest.$ac_objext; then
9018 ac_lo=$ac_mid; break
9019 else
9020 $as_echo "$as_me: failed program was:" >&5
9021 sed 's/^/| /' conftest.$ac_ext >&5
9022
9023 ac_hi=`expr '(' $ac_mid ')' - 1`
9024 if test $ac_mid -le $ac_hi; then
9025 ac_lo= ac_hi=
9026 break
9027 fi
9028 ac_mid=`expr 2 '*' $ac_mid`
9029 fi
9030
9031 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9032 done
9033 else
9034 $as_echo "$as_me: failed program was:" >&5
9035 sed 's/^/| /' conftest.$ac_ext >&5
9036
9037 ac_lo= ac_hi=
9038 fi
9039
9040 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9041 fi
9042
9043 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9044 # Binary search between lo and hi bounds.
9045 while test "x$ac_lo" != "x$ac_hi"; do
9046 ac_mid=`expr '(' $ac_hi - $ac_lo ')' / 2 + $ac_lo`
9047 cat >conftest.$ac_ext <<_ACEOF
9048 /* confdefs.h. */
9049 _ACEOF
9050 cat confdefs.h >>conftest.$ac_ext
9051 cat >>conftest.$ac_ext <<_ACEOF
9052 /* end confdefs.h. */
9053 $ac_includes_default
9054 int
9055 main ()
9056 {
9057 static int test_array [1 - 2 * !(((long int) (sizeof (double))) <= $ac_mid)];
9058 test_array [0] = 0
9059
9060 ;
9061 return 0;
9062 }
9063 _ACEOF
9064 rm -f conftest.$ac_objext
9065 if { (ac_try="$ac_compile"
9066 case "(($ac_try" in
9067 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9068 *) ac_try_echo=$ac_try;;
9069 esac
9070 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9071 $as_echo "$ac_try_echo") >&5
9072 (eval "$ac_compile") 2>conftest.er1
9073 ac_status=$?
9074 grep -v '^ *+' conftest.er1 >conftest.err
9075 rm -f conftest.er1
9076 cat conftest.err >&5
9077 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9078 (exit $ac_status); } && {
9079 test -z "$ac_c_werror_flag" ||
9080 test ! -s conftest.err
9081 } && test -s conftest.$ac_objext; then
9082 ac_hi=$ac_mid
9083 else
9084 $as_echo "$as_me: failed program was:" >&5
9085 sed 's/^/| /' conftest.$ac_ext >&5
9086
9087 ac_lo=`expr '(' $ac_mid ')' + 1`
9088 fi
9089
9090 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9091 done
9092 case $ac_lo in
9093 ?*) ac_cv_sizeof_double=$ac_lo;;
9094 '') if test "$ac_cv_type_double" = yes; then
9095 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
7807 if ac_fn_c_compute_int "$LINENO" "(long int) (sizeof (double))" "ac_cv_sizeof_double" "$ac_includes_default"; then :
7808
7809 else
7810 if test "$ac_cv_type_double" = yes; then
7811 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
90967812 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
9097 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (double)
9098 See \`config.log' for more details." >&5
9099 $as_echo "$as_me: error: cannot compute sizeof (double)
9100 See \`config.log' for more details." >&2;}
9101 { (exit 77); exit 77; }; }; }
9102 else
9103 ac_cv_sizeof_double=0
9104 fi ;;
9105 esac
9106 else
9107 cat >conftest.$ac_ext <<_ACEOF
9108 /* confdefs.h. */
9109 _ACEOF
9110 cat confdefs.h >>conftest.$ac_ext
9111 cat >>conftest.$ac_ext <<_ACEOF
9112 /* end confdefs.h. */
9113 $ac_includes_default
9114 static long int longval () { return (long int) (sizeof (double)); }
9115 static unsigned long int ulongval () { return (long int) (sizeof (double)); }
9116 #include <stdio.h>
9117 #include <stdlib.h>
9118 int
9119 main ()
9120 {
9121
9122 FILE *f = fopen ("conftest.val", "w");
9123 if (! f)
9124 return 1;
9125 if (((long int) (sizeof (double))) < 0)
9126 {
9127 long int i = longval ();
9128 if (i != ((long int) (sizeof (double))))
9129 return 1;
9130 fprintf (f, "%ld", i);
9131 }
9132 else
9133 {
9134 unsigned long int i = ulongval ();
9135 if (i != ((long int) (sizeof (double))))
9136 return 1;
9137 fprintf (f, "%lu", i);
9138 }
9139 /* Do not output a trailing newline, as this causes \r\n confusion
9140 on some platforms. */
9141 return ferror (f) || fclose (f) != 0;
9142
9143 ;
9144 return 0;
9145 }
9146 _ACEOF
9147 rm -f conftest$ac_exeext
9148 if { (ac_try="$ac_link"
9149 case "(($ac_try" in
9150 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9151 *) ac_try_echo=$ac_try;;
9152 esac
9153 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9154 $as_echo "$ac_try_echo") >&5
9155 (eval "$ac_link") 2>&5
9156 ac_status=$?
9157 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9158 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
9159 { (case "(($ac_try" in
9160 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9161 *) ac_try_echo=$ac_try;;
9162 esac
9163 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9164 $as_echo "$ac_try_echo") >&5
9165 (eval "$ac_try") 2>&5
9166 ac_status=$?
9167 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9168 (exit $ac_status); }; }; then
9169 ac_cv_sizeof_double=`cat conftest.val`
9170 else
9171 $as_echo "$as_me: program exited with status $ac_status" >&5
9172 $as_echo "$as_me: failed program was:" >&5
9173 sed 's/^/| /' conftest.$ac_ext >&5
9174
9175 ( exit $ac_status )
9176 if test "$ac_cv_type_double" = yes; then
9177 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
9178 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
9179 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (double)
9180 See \`config.log' for more details." >&5
9181 $as_echo "$as_me: error: cannot compute sizeof (double)
9182 See \`config.log' for more details." >&2;}
9183 { (exit 77); exit 77; }; }; }
7813 as_fn_error 77 "cannot compute sizeof (double)
7814 See \`config.log' for more details" "$LINENO" 5; }
91847815 else
91857816 ac_cv_sizeof_double=0
91867817 fi
91877818 fi
9188 rm -rf conftest.dSYM
9189 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
9190 fi
9191 rm -f conftest.val
9192 fi
9193 { $as_echo "$as_me:$LINENO: result: $ac_cv_sizeof_double" >&5
7819
7820 fi
7821 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sizeof_double" >&5
91947822 $as_echo "$ac_cv_sizeof_double" >&6; }
91957823
91967824
92047832 # version HP92453-01 B.11.11.23709.GP, which incorrectly rejects
92057833 # declarations like `int a3[[(sizeof (unsigned char)) >= 0]];'.
92067834 # This bug is HP SR number 8606223364.
9207 { $as_echo "$as_me:$LINENO: checking size of long" >&5
7835 { $as_echo "$as_me:${as_lineno-$LINENO}: checking size of long" >&5
92087836 $as_echo_n "checking size of long... " >&6; }
9209 if test "${ac_cv_sizeof_long+set}" = set; then
7837 if ${ac_cv_sizeof_long+:} false; then :
92107838 $as_echo_n "(cached) " >&6
92117839 else
9212 if test "$cross_compiling" = yes; then
9213 # Depending upon the size, compute the lo and hi bounds.
9214 cat >conftest.$ac_ext <<_ACEOF
9215 /* confdefs.h. */
9216 _ACEOF
9217 cat confdefs.h >>conftest.$ac_ext
9218 cat >>conftest.$ac_ext <<_ACEOF
9219 /* end confdefs.h. */
9220 $ac_includes_default
9221 int
9222 main ()
9223 {
9224 static int test_array [1 - 2 * !(((long int) (sizeof (long))) >= 0)];
9225 test_array [0] = 0
9226
9227 ;
9228 return 0;
9229 }
9230 _ACEOF
9231 rm -f conftest.$ac_objext
9232 if { (ac_try="$ac_compile"
9233 case "(($ac_try" in
9234 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9235 *) ac_try_echo=$ac_try;;
9236 esac
9237 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9238 $as_echo "$ac_try_echo") >&5
9239 (eval "$ac_compile") 2>conftest.er1
9240 ac_status=$?
9241 grep -v '^ *+' conftest.er1 >conftest.err
9242 rm -f conftest.er1
9243 cat conftest.err >&5
9244 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9245 (exit $ac_status); } && {
9246 test -z "$ac_c_werror_flag" ||
9247 test ! -s conftest.err
9248 } && test -s conftest.$ac_objext; then
9249 ac_lo=0 ac_mid=0
9250 while :; do
9251 cat >conftest.$ac_ext <<_ACEOF
9252 /* confdefs.h. */
9253 _ACEOF
9254 cat confdefs.h >>conftest.$ac_ext
9255 cat >>conftest.$ac_ext <<_ACEOF
9256 /* end confdefs.h. */
9257 $ac_includes_default
9258 int
9259 main ()
9260 {
9261 static int test_array [1 - 2 * !(((long int) (sizeof (long))) <= $ac_mid)];
9262 test_array [0] = 0
9263
9264 ;
9265 return 0;
9266 }
9267 _ACEOF
9268 rm -f conftest.$ac_objext
9269 if { (ac_try="$ac_compile"
9270 case "(($ac_try" in
9271 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9272 *) ac_try_echo=$ac_try;;
9273 esac
9274 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9275 $as_echo "$ac_try_echo") >&5
9276 (eval "$ac_compile") 2>conftest.er1
9277 ac_status=$?
9278 grep -v '^ *+' conftest.er1 >conftest.err
9279 rm -f conftest.er1
9280 cat conftest.err >&5
9281 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9282 (exit $ac_status); } && {
9283 test -z "$ac_c_werror_flag" ||
9284 test ! -s conftest.err
9285 } && test -s conftest.$ac_objext; then
9286 ac_hi=$ac_mid; break
9287 else
9288 $as_echo "$as_me: failed program was:" >&5
9289 sed 's/^/| /' conftest.$ac_ext >&5
9290
9291 ac_lo=`expr $ac_mid + 1`
9292 if test $ac_lo -le $ac_mid; then
9293 ac_lo= ac_hi=
9294 break
9295 fi
9296 ac_mid=`expr 2 '*' $ac_mid + 1`
9297 fi
9298
9299 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9300 done
9301 else
9302 $as_echo "$as_me: failed program was:" >&5
9303 sed 's/^/| /' conftest.$ac_ext >&5
9304
9305 cat >conftest.$ac_ext <<_ACEOF
9306 /* confdefs.h. */
9307 _ACEOF
9308 cat confdefs.h >>conftest.$ac_ext
9309 cat >>conftest.$ac_ext <<_ACEOF
9310 /* end confdefs.h. */
9311 $ac_includes_default
9312 int
9313 main ()
9314 {
9315 static int test_array [1 - 2 * !(((long int) (sizeof (long))) < 0)];
9316 test_array [0] = 0
9317
9318 ;
9319 return 0;
9320 }
9321 _ACEOF
9322 rm -f conftest.$ac_objext
9323 if { (ac_try="$ac_compile"
9324 case "(($ac_try" in
9325 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9326 *) ac_try_echo=$ac_try;;
9327 esac
9328 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9329 $as_echo "$ac_try_echo") >&5
9330 (eval "$ac_compile") 2>conftest.er1
9331 ac_status=$?
9332 grep -v '^ *+' conftest.er1 >conftest.err
9333 rm -f conftest.er1
9334 cat conftest.err >&5
9335 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9336 (exit $ac_status); } && {
9337 test -z "$ac_c_werror_flag" ||
9338 test ! -s conftest.err
9339 } && test -s conftest.$ac_objext; then
9340 ac_hi=-1 ac_mid=-1
9341 while :; do
9342 cat >conftest.$ac_ext <<_ACEOF
9343 /* confdefs.h. */
9344 _ACEOF
9345 cat confdefs.h >>conftest.$ac_ext
9346 cat >>conftest.$ac_ext <<_ACEOF
9347 /* end confdefs.h. */
9348 $ac_includes_default
9349 int
9350 main ()
9351 {
9352 static int test_array [1 - 2 * !(((long int) (sizeof (long))) >= $ac_mid)];
9353 test_array [0] = 0
9354
9355 ;
9356 return 0;
9357 }
9358 _ACEOF
9359 rm -f conftest.$ac_objext
9360 if { (ac_try="$ac_compile"
9361 case "(($ac_try" in
9362 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9363 *) ac_try_echo=$ac_try;;
9364 esac
9365 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9366 $as_echo "$ac_try_echo") >&5
9367 (eval "$ac_compile") 2>conftest.er1
9368 ac_status=$?
9369 grep -v '^ *+' conftest.er1 >conftest.err
9370 rm -f conftest.er1
9371 cat conftest.err >&5
9372 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9373 (exit $ac_status); } && {
9374 test -z "$ac_c_werror_flag" ||
9375 test ! -s conftest.err
9376 } && test -s conftest.$ac_objext; then
9377 ac_lo=$ac_mid; break
9378 else
9379 $as_echo "$as_me: failed program was:" >&5
9380 sed 's/^/| /' conftest.$ac_ext >&5
9381
9382 ac_hi=`expr '(' $ac_mid ')' - 1`
9383 if test $ac_mid -le $ac_hi; then
9384 ac_lo= ac_hi=
9385 break
9386 fi
9387 ac_mid=`expr 2 '*' $ac_mid`
9388 fi
9389
9390 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9391 done
9392 else
9393 $as_echo "$as_me: failed program was:" >&5
9394 sed 's/^/| /' conftest.$ac_ext >&5
9395
9396 ac_lo= ac_hi=
9397 fi
9398
9399 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9400 fi
9401
9402 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9403 # Binary search between lo and hi bounds.
9404 while test "x$ac_lo" != "x$ac_hi"; do
9405 ac_mid=`expr '(' $ac_hi - $ac_lo ')' / 2 + $ac_lo`
9406 cat >conftest.$ac_ext <<_ACEOF
9407 /* confdefs.h. */
9408 _ACEOF
9409 cat confdefs.h >>conftest.$ac_ext
9410 cat >>conftest.$ac_ext <<_ACEOF
9411 /* end confdefs.h. */
9412 $ac_includes_default
9413 int
9414 main ()
9415 {
9416 static int test_array [1 - 2 * !(((long int) (sizeof (long))) <= $ac_mid)];
9417 test_array [0] = 0
9418
9419 ;
9420 return 0;
9421 }
9422 _ACEOF
9423 rm -f conftest.$ac_objext
9424 if { (ac_try="$ac_compile"
9425 case "(($ac_try" in
9426 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9427 *) ac_try_echo=$ac_try;;
9428 esac
9429 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9430 $as_echo "$ac_try_echo") >&5
9431 (eval "$ac_compile") 2>conftest.er1
9432 ac_status=$?
9433 grep -v '^ *+' conftest.er1 >conftest.err
9434 rm -f conftest.er1
9435 cat conftest.err >&5
9436 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9437 (exit $ac_status); } && {
9438 test -z "$ac_c_werror_flag" ||
9439 test ! -s conftest.err
9440 } && test -s conftest.$ac_objext; then
9441 ac_hi=$ac_mid
9442 else
9443 $as_echo "$as_me: failed program was:" >&5
9444 sed 's/^/| /' conftest.$ac_ext >&5
9445
9446 ac_lo=`expr '(' $ac_mid ')' + 1`
9447 fi
9448
9449 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9450 done
9451 case $ac_lo in
9452 ?*) ac_cv_sizeof_long=$ac_lo;;
9453 '') if test "$ac_cv_type_long" = yes; then
9454 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
7840 if ac_fn_c_compute_int "$LINENO" "(long int) (sizeof (long))" "ac_cv_sizeof_long" "$ac_includes_default"; then :
7841
7842 else
7843 if test "$ac_cv_type_long" = yes; then
7844 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
94557845 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
9456 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (long)
9457 See \`config.log' for more details." >&5
9458 $as_echo "$as_me: error: cannot compute sizeof (long)
9459 See \`config.log' for more details." >&2;}
9460 { (exit 77); exit 77; }; }; }
9461 else
9462 ac_cv_sizeof_long=0
9463 fi ;;
9464 esac
9465 else
9466 cat >conftest.$ac_ext <<_ACEOF
9467 /* confdefs.h. */
9468 _ACEOF
9469 cat confdefs.h >>conftest.$ac_ext
9470 cat >>conftest.$ac_ext <<_ACEOF
9471 /* end confdefs.h. */
9472 $ac_includes_default
9473 static long int longval () { return (long int) (sizeof (long)); }
9474 static unsigned long int ulongval () { return (long int) (sizeof (long)); }
9475 #include <stdio.h>
9476 #include <stdlib.h>
9477 int
9478 main ()
9479 {
9480
9481 FILE *f = fopen ("conftest.val", "w");
9482 if (! f)
9483 return 1;
9484 if (((long int) (sizeof (long))) < 0)
9485 {
9486 long int i = longval ();
9487 if (i != ((long int) (sizeof (long))))
9488 return 1;
9489 fprintf (f, "%ld", i);
9490 }
9491 else
9492 {
9493 unsigned long int i = ulongval ();
9494 if (i != ((long int) (sizeof (long))))
9495 return 1;
9496 fprintf (f, "%lu", i);
9497 }
9498 /* Do not output a trailing newline, as this causes \r\n confusion
9499 on some platforms. */
9500 return ferror (f) || fclose (f) != 0;
9501
9502 ;
9503 return 0;
9504 }
9505 _ACEOF
9506 rm -f conftest$ac_exeext
9507 if { (ac_try="$ac_link"
9508 case "(($ac_try" in
9509 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9510 *) ac_try_echo=$ac_try;;
9511 esac
9512 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9513 $as_echo "$ac_try_echo") >&5
9514 (eval "$ac_link") 2>&5
9515 ac_status=$?
9516 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9517 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
9518 { (case "(($ac_try" in
9519 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9520 *) ac_try_echo=$ac_try;;
9521 esac
9522 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9523 $as_echo "$ac_try_echo") >&5
9524 (eval "$ac_try") 2>&5
9525 ac_status=$?
9526 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9527 (exit $ac_status); }; }; then
9528 ac_cv_sizeof_long=`cat conftest.val`
9529 else
9530 $as_echo "$as_me: program exited with status $ac_status" >&5
9531 $as_echo "$as_me: failed program was:" >&5
9532 sed 's/^/| /' conftest.$ac_ext >&5
9533
9534 ( exit $ac_status )
9535 if test "$ac_cv_type_long" = yes; then
9536 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
9537 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
9538 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (long)
9539 See \`config.log' for more details." >&5
9540 $as_echo "$as_me: error: cannot compute sizeof (long)
9541 See \`config.log' for more details." >&2;}
9542 { (exit 77); exit 77; }; }; }
7846 as_fn_error 77 "cannot compute sizeof (long)
7847 See \`config.log' for more details" "$LINENO" 5; }
95437848 else
95447849 ac_cv_sizeof_long=0
95457850 fi
95467851 fi
9547 rm -rf conftest.dSYM
9548 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
9549 fi
9550 rm -f conftest.val
9551 fi
9552 { $as_echo "$as_me:$LINENO: result: $ac_cv_sizeof_long" >&5
7852
7853 fi
7854 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sizeof_long" >&5
95537855 $as_echo "$ac_cv_sizeof_long" >&6; }
95547856
95557857
95637865 # version HP92453-01 B.11.11.23709.GP, which incorrectly rejects
95647866 # declarations like `int a3[[(sizeof (unsigned char)) >= 0]];'.
95657867 # This bug is HP SR number 8606223364.
9566 { $as_echo "$as_me:$LINENO: checking size of int" >&5
7868 { $as_echo "$as_me:${as_lineno-$LINENO}: checking size of int" >&5
95677869 $as_echo_n "checking size of int... " >&6; }
9568 if test "${ac_cv_sizeof_int+set}" = set; then
7870 if ${ac_cv_sizeof_int+:} false; then :
95697871 $as_echo_n "(cached) " >&6
95707872 else
9571 if test "$cross_compiling" = yes; then
9572 # Depending upon the size, compute the lo and hi bounds.
9573 cat >conftest.$ac_ext <<_ACEOF
9574 /* confdefs.h. */
9575 _ACEOF
9576 cat confdefs.h >>conftest.$ac_ext
9577 cat >>conftest.$ac_ext <<_ACEOF
7873 if ac_fn_c_compute_int "$LINENO" "(long int) (sizeof (int))" "ac_cv_sizeof_int" "$ac_includes_default"; then :
7874
7875 else
7876 if test "$ac_cv_type_int" = yes; then
7877 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
7878 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
7879 as_fn_error 77 "cannot compute sizeof (int)
7880 See \`config.log' for more details" "$LINENO" 5; }
7881 else
7882 ac_cv_sizeof_int=0
7883 fi
7884 fi
7885
7886 fi
7887 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sizeof_int" >&5
7888 $as_echo "$ac_cv_sizeof_int" >&6; }
7889
7890
7891
7892 cat >>confdefs.h <<_ACEOF
7893 #define SIZEOF_INT $ac_cv_sizeof_int
7894 _ACEOF
7895
7896
7897
7898 # Checks for typedefs, structures, and compiler characteristics.
7899 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for an ANSI C-conforming const" >&5
7900 $as_echo_n "checking for an ANSI C-conforming const... " >&6; }
7901 if ${ac_cv_c_const+:} false; then :
7902 $as_echo_n "(cached) " >&6
7903 else
7904 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
95787905 /* end confdefs.h. */
9579 $ac_includes_default
7906
95807907 int
95817908 main ()
95827909 {
9583 static int test_array [1 - 2 * !(((long int) (sizeof (int))) >= 0)];
9584 test_array [0] = 0
9585
9586 ;
9587 return 0;
9588 }
9589 _ACEOF
9590 rm -f conftest.$ac_objext
9591 if { (ac_try="$ac_compile"
9592 case "(($ac_try" in
9593 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9594 *) ac_try_echo=$ac_try;;
9595 esac
9596 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9597 $as_echo "$ac_try_echo") >&5
9598 (eval "$ac_compile") 2>conftest.er1
9599 ac_status=$?
9600 grep -v '^ *+' conftest.er1 >conftest.err
9601 rm -f conftest.er1
9602 cat conftest.err >&5
9603 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9604 (exit $ac_status); } && {
9605 test -z "$ac_c_werror_flag" ||
9606 test ! -s conftest.err
9607 } && test -s conftest.$ac_objext; then
9608 ac_lo=0 ac_mid=0
9609 while :; do
9610 cat >conftest.$ac_ext <<_ACEOF
9611 /* confdefs.h. */
9612 _ACEOF
9613 cat confdefs.h >>conftest.$ac_ext
9614 cat >>conftest.$ac_ext <<_ACEOF
9615 /* end confdefs.h. */
9616 $ac_includes_default
9617 int
9618 main ()
9619 {
9620 static int test_array [1 - 2 * !(((long int) (sizeof (int))) <= $ac_mid)];
9621 test_array [0] = 0
9622
9623 ;
9624 return 0;
9625 }
9626 _ACEOF
9627 rm -f conftest.$ac_objext
9628 if { (ac_try="$ac_compile"
9629 case "(($ac_try" in
9630 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9631 *) ac_try_echo=$ac_try;;
9632 esac
9633 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9634 $as_echo "$ac_try_echo") >&5
9635 (eval "$ac_compile") 2>conftest.er1
9636 ac_status=$?
9637 grep -v '^ *+' conftest.er1 >conftest.err
9638 rm -f conftest.er1
9639 cat conftest.err >&5
9640 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9641 (exit $ac_status); } && {
9642 test -z "$ac_c_werror_flag" ||
9643 test ! -s conftest.err
9644 } && test -s conftest.$ac_objext; then
9645 ac_hi=$ac_mid; break
9646 else
9647 $as_echo "$as_me: failed program was:" >&5
9648 sed 's/^/| /' conftest.$ac_ext >&5
9649
9650 ac_lo=`expr $ac_mid + 1`
9651 if test $ac_lo -le $ac_mid; then
9652 ac_lo= ac_hi=
9653 break
9654 fi
9655 ac_mid=`expr 2 '*' $ac_mid + 1`
9656 fi
9657
9658 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9659 done
9660 else
9661 $as_echo "$as_me: failed program was:" >&5
9662 sed 's/^/| /' conftest.$ac_ext >&5
9663
9664 cat >conftest.$ac_ext <<_ACEOF
9665 /* confdefs.h. */
9666 _ACEOF
9667 cat confdefs.h >>conftest.$ac_ext
9668 cat >>conftest.$ac_ext <<_ACEOF
9669 /* end confdefs.h. */
9670 $ac_includes_default
9671 int
9672 main ()
9673 {
9674 static int test_array [1 - 2 * !(((long int) (sizeof (int))) < 0)];
9675 test_array [0] = 0
9676
9677 ;
9678 return 0;
9679 }
9680 _ACEOF
9681 rm -f conftest.$ac_objext
9682 if { (ac_try="$ac_compile"
9683 case "(($ac_try" in
9684 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9685 *) ac_try_echo=$ac_try;;
9686 esac
9687 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9688 $as_echo "$ac_try_echo") >&5
9689 (eval "$ac_compile") 2>conftest.er1
9690 ac_status=$?
9691 grep -v '^ *+' conftest.er1 >conftest.err
9692 rm -f conftest.er1
9693 cat conftest.err >&5
9694 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9695 (exit $ac_status); } && {
9696 test -z "$ac_c_werror_flag" ||
9697 test ! -s conftest.err
9698 } && test -s conftest.$ac_objext; then
9699 ac_hi=-1 ac_mid=-1
9700 while :; do
9701 cat >conftest.$ac_ext <<_ACEOF
9702 /* confdefs.h. */
9703 _ACEOF
9704 cat confdefs.h >>conftest.$ac_ext
9705 cat >>conftest.$ac_ext <<_ACEOF
9706 /* end confdefs.h. */
9707 $ac_includes_default
9708 int
9709 main ()
9710 {
9711 static int test_array [1 - 2 * !(((long int) (sizeof (int))) >= $ac_mid)];
9712 test_array [0] = 0
9713
9714 ;
9715 return 0;
9716 }
9717 _ACEOF
9718 rm -f conftest.$ac_objext
9719 if { (ac_try="$ac_compile"
9720 case "(($ac_try" in
9721 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9722 *) ac_try_echo=$ac_try;;
9723 esac
9724 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9725 $as_echo "$ac_try_echo") >&5
9726 (eval "$ac_compile") 2>conftest.er1
9727 ac_status=$?
9728 grep -v '^ *+' conftest.er1 >conftest.err
9729 rm -f conftest.er1
9730 cat conftest.err >&5
9731 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9732 (exit $ac_status); } && {
9733 test -z "$ac_c_werror_flag" ||
9734 test ! -s conftest.err
9735 } && test -s conftest.$ac_objext; then
9736 ac_lo=$ac_mid; break
9737 else
9738 $as_echo "$as_me: failed program was:" >&5
9739 sed 's/^/| /' conftest.$ac_ext >&5
9740
9741 ac_hi=`expr '(' $ac_mid ')' - 1`
9742 if test $ac_mid -le $ac_hi; then
9743 ac_lo= ac_hi=
9744 break
9745 fi
9746 ac_mid=`expr 2 '*' $ac_mid`
9747 fi
9748
9749 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9750 done
9751 else
9752 $as_echo "$as_me: failed program was:" >&5
9753 sed 's/^/| /' conftest.$ac_ext >&5
9754
9755 ac_lo= ac_hi=
9756 fi
9757
9758 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9759 fi
9760
9761 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9762 # Binary search between lo and hi bounds.
9763 while test "x$ac_lo" != "x$ac_hi"; do
9764 ac_mid=`expr '(' $ac_hi - $ac_lo ')' / 2 + $ac_lo`
9765 cat >conftest.$ac_ext <<_ACEOF
9766 /* confdefs.h. */
9767 _ACEOF
9768 cat confdefs.h >>conftest.$ac_ext
9769 cat >>conftest.$ac_ext <<_ACEOF
9770 /* end confdefs.h. */
9771 $ac_includes_default
9772 int
9773 main ()
9774 {
9775 static int test_array [1 - 2 * !(((long int) (sizeof (int))) <= $ac_mid)];
9776 test_array [0] = 0
9777
9778 ;
9779 return 0;
9780 }
9781 _ACEOF
9782 rm -f conftest.$ac_objext
9783 if { (ac_try="$ac_compile"
9784 case "(($ac_try" in
9785 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9786 *) ac_try_echo=$ac_try;;
9787 esac
9788 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9789 $as_echo "$ac_try_echo") >&5
9790 (eval "$ac_compile") 2>conftest.er1
9791 ac_status=$?
9792 grep -v '^ *+' conftest.er1 >conftest.err
9793 rm -f conftest.er1
9794 cat conftest.err >&5
9795 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9796 (exit $ac_status); } && {
9797 test -z "$ac_c_werror_flag" ||
9798 test ! -s conftest.err
9799 } && test -s conftest.$ac_objext; then
9800 ac_hi=$ac_mid
9801 else
9802 $as_echo "$as_me: failed program was:" >&5
9803 sed 's/^/| /' conftest.$ac_ext >&5
9804
9805 ac_lo=`expr '(' $ac_mid ')' + 1`
9806 fi
9807
9808 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
9809 done
9810 case $ac_lo in
9811 ?*) ac_cv_sizeof_int=$ac_lo;;
9812 '') if test "$ac_cv_type_int" = yes; then
9813 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
9814 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
9815 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (int)
9816 See \`config.log' for more details." >&5
9817 $as_echo "$as_me: error: cannot compute sizeof (int)
9818 See \`config.log' for more details." >&2;}
9819 { (exit 77); exit 77; }; }; }
9820 else
9821 ac_cv_sizeof_int=0
9822 fi ;;
9823 esac
9824 else
9825 cat >conftest.$ac_ext <<_ACEOF
9826 /* confdefs.h. */
9827 _ACEOF
9828 cat confdefs.h >>conftest.$ac_ext
9829 cat >>conftest.$ac_ext <<_ACEOF
9830 /* end confdefs.h. */
9831 $ac_includes_default
9832 static long int longval () { return (long int) (sizeof (int)); }
9833 static unsigned long int ulongval () { return (long int) (sizeof (int)); }
9834 #include <stdio.h>
9835 #include <stdlib.h>
9836 int
9837 main ()
9838 {
9839
9840 FILE *f = fopen ("conftest.val", "w");
9841 if (! f)
9842 return 1;
9843 if (((long int) (sizeof (int))) < 0)
9844 {
9845 long int i = longval ();
9846 if (i != ((long int) (sizeof (int))))
9847 return 1;
9848 fprintf (f, "%ld", i);
9849 }
9850 else
9851 {
9852 unsigned long int i = ulongval ();
9853 if (i != ((long int) (sizeof (int))))
9854 return 1;
9855 fprintf (f, "%lu", i);
9856 }
9857 /* Do not output a trailing newline, as this causes \r\n confusion
9858 on some platforms. */
9859 return ferror (f) || fclose (f) != 0;
9860
9861 ;
9862 return 0;
9863 }
9864 _ACEOF
9865 rm -f conftest$ac_exeext
9866 if { (ac_try="$ac_link"
9867 case "(($ac_try" in
9868 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9869 *) ac_try_echo=$ac_try;;
9870 esac
9871 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9872 $as_echo "$ac_try_echo") >&5
9873 (eval "$ac_link") 2>&5
9874 ac_status=$?
9875 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9876 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
9877 { (case "(($ac_try" in
9878 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9879 *) ac_try_echo=$ac_try;;
9880 esac
9881 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9882 $as_echo "$ac_try_echo") >&5
9883 (eval "$ac_try") 2>&5
9884 ac_status=$?
9885 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
9886 (exit $ac_status); }; }; then
9887 ac_cv_sizeof_int=`cat conftest.val`
9888 else
9889 $as_echo "$as_me: program exited with status $ac_status" >&5
9890 $as_echo "$as_me: failed program was:" >&5
9891 sed 's/^/| /' conftest.$ac_ext >&5
9892
9893 ( exit $ac_status )
9894 if test "$ac_cv_type_int" = yes; then
9895 { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
9896 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
9897 { { $as_echo "$as_me:$LINENO: error: cannot compute sizeof (int)
9898 See \`config.log' for more details." >&5
9899 $as_echo "$as_me: error: cannot compute sizeof (int)
9900 See \`config.log' for more details." >&2;}
9901 { (exit 77); exit 77; }; }; }
9902 else
9903 ac_cv_sizeof_int=0
9904 fi
9905 fi
9906 rm -rf conftest.dSYM
9907 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
9908 fi
9909 rm -f conftest.val
9910 fi
9911 { $as_echo "$as_me:$LINENO: result: $ac_cv_sizeof_int" >&5
9912 $as_echo "$ac_cv_sizeof_int" >&6; }
9913
9914
9915
9916 cat >>confdefs.h <<_ACEOF
9917 #define SIZEOF_INT $ac_cv_sizeof_int
9918 _ACEOF
9919
9920
9921
9922 # Checks for typedefs, structures, and compiler characteristics.
9923 { $as_echo "$as_me:$LINENO: checking for an ANSI C-conforming const" >&5
9924 $as_echo_n "checking for an ANSI C-conforming const... " >&6; }
9925 if test "${ac_cv_c_const+set}" = set; then
9926 $as_echo_n "(cached) " >&6
9927 else
9928 cat >conftest.$ac_ext <<_ACEOF
9929 /* confdefs.h. */
9930 _ACEOF
9931 cat confdefs.h >>conftest.$ac_ext
9932 cat >>conftest.$ac_ext <<_ACEOF
9933 /* end confdefs.h. */
9934
9935 int
9936 main ()
9937 {
9938 /* FIXME: Include the comments suggested by Paul. */
7910
99397911 #ifndef __cplusplus
9940 /* Ultrix mips cc rejects this. */
7912 /* Ultrix mips cc rejects this sort of thing. */
99417913 typedef int charset[2];
9942 const charset cs;
7914 const charset cs = { 0, 0 };
99437915 /* SunOS 4.1.1 cc rejects this. */
99447916 char const *const *pcpcc;
99457917 char **ppc;
99567928 ++pcpcc;
99577929 ppc = (char**) pcpcc;
99587930 pcpcc = (char const *const *) ppc;
9959 { /* SCO 3.2v4 cc rejects this. */
9960 char *t;
7931 { /* SCO 3.2v4 cc rejects this sort of thing. */
7932 char tx;
7933 char *t = &tx;
99617934 char const *s = 0 ? (char *) 0 : (char const *) 0;
99627935
99637936 *t++ = 0;
99737946 iptr p = 0;
99747947 ++p;
99757948 }
9976 { /* AIX XL C 1.02.0.0 rejects this saying
7949 { /* AIX XL C 1.02.0.0 rejects this sort of thing, saying
99777950 "k.c", line 2.27: 1506-025 (S) Operand must be a modifiable lvalue. */
9978 struct s { int j; const int *ap[3]; };
9979 struct s *b; b->j = 5;
7951 struct s { int j; const int *ap[3]; } bx;
7952 struct s *b = &bx; b->j = 5;
99807953 }
99817954 { /* ULTRIX-32 V3.1 (Rev 9) vcc rejects this */
99827955 const int foo = 10;
99897962 return 0;
99907963 }
99917964 _ACEOF
9992 rm -f conftest.$ac_objext
9993 if { (ac_try="$ac_compile"
9994 case "(($ac_try" in
9995 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
9996 *) ac_try_echo=$ac_try;;
9997 esac
9998 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
9999 $as_echo "$ac_try_echo") >&5
10000 (eval "$ac_compile") 2>conftest.er1
10001 ac_status=$?
10002 grep -v '^ *+' conftest.er1 >conftest.err
10003 rm -f conftest.er1
10004 cat conftest.err >&5
10005 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10006 (exit $ac_status); } && {
10007 test -z "$ac_c_werror_flag" ||
10008 test ! -s conftest.err
10009 } && test -s conftest.$ac_objext; then
7965 if ac_fn_c_try_compile "$LINENO"; then :
100107966 ac_cv_c_const=yes
100117967 else
10012 $as_echo "$as_me: failed program was:" >&5
10013 sed 's/^/| /' conftest.$ac_ext >&5
10014
10015 ac_cv_c_const=no
10016 fi
10017
7968 ac_cv_c_const=no
7969 fi
100187970 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
100197971 fi
10020 { $as_echo "$as_me:$LINENO: result: $ac_cv_c_const" >&5
7972 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_const" >&5
100217973 $as_echo "$ac_cv_c_const" >&6; }
100227974 if test $ac_cv_c_const = no; then
100237975
10024 cat >>confdefs.h <<\_ACEOF
10025 #define const /**/
10026 _ACEOF
10027
10028 fi
10029
10030 { $as_echo "$as_me:$LINENO: checking for stdbool.h that conforms to C99" >&5
7976 $as_echo "#define const /**/" >>confdefs.h
7977
7978 fi
7979
7980 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for stdbool.h that conforms to C99" >&5
100317981 $as_echo_n "checking for stdbool.h that conforms to C99... " >&6; }
10032 if test "${ac_cv_header_stdbool_h+set}" = set; then
7982 if ${ac_cv_header_stdbool_h+:} false; then :
100337983 $as_echo_n "(cached) " >&6
100347984 else
10035 cat >conftest.$ac_ext <<_ACEOF
10036 /* confdefs.h. */
10037 _ACEOF
10038 cat confdefs.h >>conftest.$ac_ext
10039 cat >>conftest.$ac_ext <<_ACEOF
7985 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
100407986 /* end confdefs.h. */
100417987
10042 #include <stdbool.h>
10043 #ifndef bool
10044 "error: bool is not defined"
10045 #endif
10046 #ifndef false
10047 "error: false is not defined"
10048 #endif
10049 #if false
10050 "error: false is not 0"
10051 #endif
10052 #ifndef true
10053 "error: true is not defined"
10054 #endif
10055 #if true != 1
10056 "error: true is not 1"
10057 #endif
10058 #ifndef __bool_true_false_are_defined
10059 "error: __bool_true_false_are_defined is not defined"
10060 #endif
10061
10062 struct s { _Bool s: 1; _Bool t; } s;
10063
10064 char a[true == 1 ? 1 : -1];
10065 char b[false == 0 ? 1 : -1];
10066 char c[__bool_true_false_are_defined == 1 ? 1 : -1];
10067 char d[(bool) 0.5 == true ? 1 : -1];
10068 bool e = &s;
10069 char f[(_Bool) 0.0 == false ? 1 : -1];
10070 char g[true];
10071 char h[sizeof (_Bool)];
10072 char i[sizeof s.t];
10073 enum { j = false, k = true, l = false * true, m = true * 256 };
10074 /* The following fails for
10075 HP aC++/ANSI C B3910B A.05.55 [Dec 04 2003]. */
10076 _Bool n[m];
10077 char o[sizeof n == m * sizeof n[0] ? 1 : -1];
10078 char p[-1 - (_Bool) 0 < 0 && -1 - (bool) 0 < 0 ? 1 : -1];
10079 # if defined __xlc__ || defined __GNUC__
10080 /* Catch a bug in IBM AIX xlc compiler version 6.0.0.0
10081 reported by James Lemley on 2005-10-05; see
10082 http://lists.gnu.org/archive/html/bug-coreutils/2005-10/msg00086.html
10083 This test is not quite right, since xlc is allowed to
10084 reject this program, as the initializer for xlcbug is
10085 not one of the forms that C requires support for.
10086 However, doing the test right would require a runtime
10087 test, and that would make cross-compilation harder.
10088 Let us hope that IBM fixes the xlc bug, and also adds
10089 support for this kind of constant expression. In the
10090 meantime, this test will reject xlc, which is OK, since
10091 our stdbool.h substitute should suffice. We also test
10092 this with GCC, where it should work, to detect more
10093 quickly whether someone messes up the test in the
10094 future. */
10095 char digs[] = "0123456789";
10096 int xlcbug = 1 / (&(digs + 5)[-2 + (bool) 1] == &digs[4] ? 1 : -1);
10097 # endif
10098 /* Catch a bug in an HP-UX C compiler. See
10099 http://gcc.gnu.org/ml/gcc-patches/2003-12/msg02303.html
10100 http://lists.gnu.org/archive/html/bug-coreutils/2005-11/msg00161.html
10101 */
10102 _Bool q = true;
10103 _Bool *pq = &q;
7988 #include <stdbool.h>
7989 #ifndef bool
7990 "error: bool is not defined"
7991 #endif
7992 #ifndef false
7993 "error: false is not defined"
7994 #endif
7995 #if false
7996 "error: false is not 0"
7997 #endif
7998 #ifndef true
7999 "error: true is not defined"
8000 #endif
8001 #if true != 1
8002 "error: true is not 1"
8003 #endif
8004 #ifndef __bool_true_false_are_defined
8005 "error: __bool_true_false_are_defined is not defined"
8006 #endif
8007
8008 struct s { _Bool s: 1; _Bool t; } s;
8009
8010 char a[true == 1 ? 1 : -1];
8011 char b[false == 0 ? 1 : -1];
8012 char c[__bool_true_false_are_defined == 1 ? 1 : -1];
8013 char d[(bool) 0.5 == true ? 1 : -1];
8014 /* See body of main program for 'e'. */
8015 char f[(_Bool) 0.0 == false ? 1 : -1];
8016 char g[true];
8017 char h[sizeof (_Bool)];
8018 char i[sizeof s.t];
8019 enum { j = false, k = true, l = false * true, m = true * 256 };
8020 /* The following fails for
8021 HP aC++/ANSI C B3910B A.05.55 [Dec 04 2003]. */
8022 _Bool n[m];
8023 char o[sizeof n == m * sizeof n[0] ? 1 : -1];
8024 char p[-1 - (_Bool) 0 < 0 && -1 - (bool) 0 < 0 ? 1 : -1];
8025 /* Catch a bug in an HP-UX C compiler. See
8026 http://gcc.gnu.org/ml/gcc-patches/2003-12/msg02303.html
8027 http://lists.gnu.org/archive/html/bug-coreutils/2005-11/msg00161.html
8028 */
8029 _Bool q = true;
8030 _Bool *pq = &q;
101048031
101058032 int
101068033 main ()
101078034 {
101088035
10109 *pq |= q;
10110 *pq |= ! q;
10111 /* Refer to every declared value, to avoid compiler optimizations. */
10112 return (!a + !b + !c + !d + !e + !f + !g + !h + !i + !!j + !k + !!l
10113 + !m + !n + !o + !p + !q + !pq);
8036 bool e = &s;
8037 *pq |= q;
8038 *pq |= ! q;
8039 /* Refer to every declared value, to avoid compiler optimizations. */
8040 return (!a + !b + !c + !d + !e + !f + !g + !h + !i + !!j + !k + !!l
8041 + !m + !n + !o + !p + !q + !pq);
101148042
101158043 ;
101168044 return 0;
101178045 }
101188046 _ACEOF
10119 rm -f conftest.$ac_objext
10120 if { (ac_try="$ac_compile"
10121 case "(($ac_try" in
10122 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10123 *) ac_try_echo=$ac_try;;
10124 esac
10125 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10126 $as_echo "$ac_try_echo") >&5
10127 (eval "$ac_compile") 2>conftest.er1
10128 ac_status=$?
10129 grep -v '^ *+' conftest.er1 >conftest.err
10130 rm -f conftest.er1
10131 cat conftest.err >&5
10132 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10133 (exit $ac_status); } && {
10134 test -z "$ac_c_werror_flag" ||
10135 test ! -s conftest.err
10136 } && test -s conftest.$ac_objext; then
8047 if ac_fn_c_try_compile "$LINENO"; then :
101378048 ac_cv_header_stdbool_h=yes
101388049 else
10139 $as_echo "$as_me: failed program was:" >&5
10140 sed 's/^/| /' conftest.$ac_ext >&5
10141
10142 ac_cv_header_stdbool_h=no
10143 fi
10144
8050 ac_cv_header_stdbool_h=no
8051 fi
101458052 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
101468053 fi
10147 { $as_echo "$as_me:$LINENO: result: $ac_cv_header_stdbool_h" >&5
8054 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_stdbool_h" >&5
101488055 $as_echo "$ac_cv_header_stdbool_h" >&6; }
10149 { $as_echo "$as_me:$LINENO: checking for _Bool" >&5
10150 $as_echo_n "checking for _Bool... " >&6; }
10151 if test "${ac_cv_type__Bool+set}" = set; then
10152 $as_echo_n "(cached) " >&6
10153 else
10154 ac_cv_type__Bool=no
10155 cat >conftest.$ac_ext <<_ACEOF
10156 /* confdefs.h. */
10157 _ACEOF
10158 cat confdefs.h >>conftest.$ac_ext
10159 cat >>conftest.$ac_ext <<_ACEOF
10160 /* end confdefs.h. */
10161 $ac_includes_default
10162 int
10163 main ()
10164 {
10165 if (sizeof (_Bool))
10166 return 0;
10167 ;
10168 return 0;
10169 }
10170 _ACEOF
10171 rm -f conftest.$ac_objext
10172 if { (ac_try="$ac_compile"
10173 case "(($ac_try" in
10174 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10175 *) ac_try_echo=$ac_try;;
10176 esac
10177 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10178 $as_echo "$ac_try_echo") >&5
10179 (eval "$ac_compile") 2>conftest.er1
10180 ac_status=$?
10181 grep -v '^ *+' conftest.er1 >conftest.err
10182 rm -f conftest.er1
10183 cat conftest.err >&5
10184 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10185 (exit $ac_status); } && {
10186 test -z "$ac_c_werror_flag" ||
10187 test ! -s conftest.err
10188 } && test -s conftest.$ac_objext; then
10189 cat >conftest.$ac_ext <<_ACEOF
10190 /* confdefs.h. */
10191 _ACEOF
10192 cat confdefs.h >>conftest.$ac_ext
10193 cat >>conftest.$ac_ext <<_ACEOF
10194 /* end confdefs.h. */
10195 $ac_includes_default
10196 int
10197 main ()
10198 {
10199 if (sizeof ((_Bool)))
10200 return 0;
10201 ;
10202 return 0;
10203 }
10204 _ACEOF
10205 rm -f conftest.$ac_objext
10206 if { (ac_try="$ac_compile"
10207 case "(($ac_try" in
10208 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10209 *) ac_try_echo=$ac_try;;
10210 esac
10211 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10212 $as_echo "$ac_try_echo") >&5
10213 (eval "$ac_compile") 2>conftest.er1
10214 ac_status=$?
10215 grep -v '^ *+' conftest.er1 >conftest.err
10216 rm -f conftest.er1
10217 cat conftest.err >&5
10218 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10219 (exit $ac_status); } && {
10220 test -z "$ac_c_werror_flag" ||
10221 test ! -s conftest.err
10222 } && test -s conftest.$ac_objext; then
10223 :
10224 else
10225 $as_echo "$as_me: failed program was:" >&5
10226 sed 's/^/| /' conftest.$ac_ext >&5
10227
10228 ac_cv_type__Bool=yes
10229 fi
10230
10231 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10232 else
10233 $as_echo "$as_me: failed program was:" >&5
10234 sed 's/^/| /' conftest.$ac_ext >&5
10235
10236
10237 fi
10238
10239 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10240 fi
10241 { $as_echo "$as_me:$LINENO: result: $ac_cv_type__Bool" >&5
10242 $as_echo "$ac_cv_type__Bool" >&6; }
10243 if test "x$ac_cv_type__Bool" = x""yes; then
8056 ac_fn_c_check_type "$LINENO" "_Bool" "ac_cv_type__Bool" "$ac_includes_default"
8057 if test "x$ac_cv_type__Bool" = xyes; then :
102448058
102458059 cat >>confdefs.h <<_ACEOF
102468060 #define HAVE__BOOL 1
102498063
102508064 fi
102518065
8066
102528067 if test $ac_cv_header_stdbool_h = yes; then
102538068
10254 cat >>confdefs.h <<\_ACEOF
10255 #define HAVE_STDBOOL_H 1
10256 _ACEOF
10257
10258 fi
10259
10260 { $as_echo "$as_me:$LINENO: checking for inline" >&5
8069 $as_echo "#define HAVE_STDBOOL_H 1" >>confdefs.h
8070
8071 fi
8072
8073 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for inline" >&5
102618074 $as_echo_n "checking for inline... " >&6; }
10262 if test "${ac_cv_c_inline+set}" = set; then
8075 if ${ac_cv_c_inline+:} false; then :
102638076 $as_echo_n "(cached) " >&6
102648077 else
102658078 ac_cv_c_inline=no
102668079 for ac_kw in inline __inline__ __inline; do
10267 cat >conftest.$ac_ext <<_ACEOF
10268 /* confdefs.h. */
10269 _ACEOF
10270 cat confdefs.h >>conftest.$ac_ext
10271 cat >>conftest.$ac_ext <<_ACEOF
8080 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
102728081 /* end confdefs.h. */
102738082 #ifndef __cplusplus
102748083 typedef int foo_t;
102778086 #endif
102788087
102798088 _ACEOF
10280 rm -f conftest.$ac_objext
10281 if { (ac_try="$ac_compile"
10282 case "(($ac_try" in
10283 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10284 *) ac_try_echo=$ac_try;;
10285 esac
10286 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10287 $as_echo "$ac_try_echo") >&5
10288 (eval "$ac_compile") 2>conftest.er1
10289 ac_status=$?
10290 grep -v '^ *+' conftest.er1 >conftest.err
10291 rm -f conftest.er1
10292 cat conftest.err >&5
10293 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10294 (exit $ac_status); } && {
10295 test -z "$ac_c_werror_flag" ||
10296 test ! -s conftest.err
10297 } && test -s conftest.$ac_objext; then
8089 if ac_fn_c_try_compile "$LINENO"; then :
102988090 ac_cv_c_inline=$ac_kw
10299 else
10300 $as_echo "$as_me: failed program was:" >&5
10301 sed 's/^/| /' conftest.$ac_ext >&5
10302
10303
10304 fi
10305
8091 fi
103068092 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
103078093 test "$ac_cv_c_inline" != no && break
103088094 done
103098095
103108096 fi
10311 { $as_echo "$as_me:$LINENO: result: $ac_cv_c_inline" >&5
8097 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_c_inline" >&5
103128098 $as_echo "$ac_cv_c_inline" >&6; }
10313
103148099
103158100 case $ac_cv_c_inline in
103168101 inline | yes) ;;
103278112 ;;
103288113 esac
103298114
10330 { $as_echo "$as_me:$LINENO: checking for off_t" >&5
10331 $as_echo_n "checking for off_t... " >&6; }
10332 if test "${ac_cv_type_off_t+set}" = set; then
10333 $as_echo_n "(cached) " >&6
10334 else
10335 ac_cv_type_off_t=no
10336 cat >conftest.$ac_ext <<_ACEOF
10337 /* confdefs.h. */
10338 _ACEOF
10339 cat confdefs.h >>conftest.$ac_ext
10340 cat >>conftest.$ac_ext <<_ACEOF
10341 /* end confdefs.h. */
10342 $ac_includes_default
10343 int
10344 main ()
10345 {
10346 if (sizeof (off_t))
10347 return 0;
10348 ;
10349 return 0;
10350 }
10351 _ACEOF
10352 rm -f conftest.$ac_objext
10353 if { (ac_try="$ac_compile"
10354 case "(($ac_try" in
10355 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10356 *) ac_try_echo=$ac_try;;
10357 esac
10358 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10359 $as_echo "$ac_try_echo") >&5
10360 (eval "$ac_compile") 2>conftest.er1
10361 ac_status=$?
10362 grep -v '^ *+' conftest.er1 >conftest.err
10363 rm -f conftest.er1
10364 cat conftest.err >&5
10365 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10366 (exit $ac_status); } && {
10367 test -z "$ac_c_werror_flag" ||
10368 test ! -s conftest.err
10369 } && test -s conftest.$ac_objext; then
10370 cat >conftest.$ac_ext <<_ACEOF
10371 /* confdefs.h. */
10372 _ACEOF
10373 cat confdefs.h >>conftest.$ac_ext
10374 cat >>conftest.$ac_ext <<_ACEOF
10375 /* end confdefs.h. */
10376 $ac_includes_default
10377 int
10378 main ()
10379 {
10380 if (sizeof ((off_t)))
10381 return 0;
10382 ;
10383 return 0;
10384 }
10385 _ACEOF
10386 rm -f conftest.$ac_objext
10387 if { (ac_try="$ac_compile"
10388 case "(($ac_try" in
10389 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10390 *) ac_try_echo=$ac_try;;
10391 esac
10392 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10393 $as_echo "$ac_try_echo") >&5
10394 (eval "$ac_compile") 2>conftest.er1
10395 ac_status=$?
10396 grep -v '^ *+' conftest.er1 >conftest.err
10397 rm -f conftest.er1
10398 cat conftest.err >&5
10399 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10400 (exit $ac_status); } && {
10401 test -z "$ac_c_werror_flag" ||
10402 test ! -s conftest.err
10403 } && test -s conftest.$ac_objext; then
10404 :
10405 else
10406 $as_echo "$as_me: failed program was:" >&5
10407 sed 's/^/| /' conftest.$ac_ext >&5
10408
10409 ac_cv_type_off_t=yes
10410 fi
10411
10412 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10413 else
10414 $as_echo "$as_me: failed program was:" >&5
10415 sed 's/^/| /' conftest.$ac_ext >&5
10416
10417
10418 fi
10419
10420 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10421 fi
10422 { $as_echo "$as_me:$LINENO: result: $ac_cv_type_off_t" >&5
10423 $as_echo "$ac_cv_type_off_t" >&6; }
10424 if test "x$ac_cv_type_off_t" = x""yes; then
10425 :
8115 ac_fn_c_check_type "$LINENO" "off_t" "ac_cv_type_off_t" "$ac_includes_default"
8116 if test "x$ac_cv_type_off_t" = xyes; then :
8117
104268118 else
104278119
104288120 cat >>confdefs.h <<_ACEOF
104318123
104328124 fi
104338125
10434 { $as_echo "$as_me:$LINENO: checking for pid_t" >&5
10435 $as_echo_n "checking for pid_t... " >&6; }
10436 if test "${ac_cv_type_pid_t+set}" = set; then
10437 $as_echo_n "(cached) " >&6
10438 else
10439 ac_cv_type_pid_t=no
10440 cat >conftest.$ac_ext <<_ACEOF
10441 /* confdefs.h. */
10442 _ACEOF
10443 cat confdefs.h >>conftest.$ac_ext
10444 cat >>conftest.$ac_ext <<_ACEOF
10445 /* end confdefs.h. */
10446 $ac_includes_default
10447 int
10448 main ()
10449 {
10450 if (sizeof (pid_t))
10451 return 0;
10452 ;
10453 return 0;
10454 }
10455 _ACEOF
10456 rm -f conftest.$ac_objext
10457 if { (ac_try="$ac_compile"
10458 case "(($ac_try" in
10459 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10460 *) ac_try_echo=$ac_try;;
10461 esac
10462 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10463 $as_echo "$ac_try_echo") >&5
10464 (eval "$ac_compile") 2>conftest.er1
10465 ac_status=$?
10466 grep -v '^ *+' conftest.er1 >conftest.err
10467 rm -f conftest.er1
10468 cat conftest.err >&5
10469 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10470 (exit $ac_status); } && {
10471 test -z "$ac_c_werror_flag" ||
10472 test ! -s conftest.err
10473 } && test -s conftest.$ac_objext; then
10474 cat >conftest.$ac_ext <<_ACEOF
10475 /* confdefs.h. */
10476 _ACEOF
10477 cat confdefs.h >>conftest.$ac_ext
10478 cat >>conftest.$ac_ext <<_ACEOF
10479 /* end confdefs.h. */
10480 $ac_includes_default
10481 int
10482 main ()
10483 {
10484 if (sizeof ((pid_t)))
10485 return 0;
10486 ;
10487 return 0;
10488 }
10489 _ACEOF
10490 rm -f conftest.$ac_objext
10491 if { (ac_try="$ac_compile"
10492 case "(($ac_try" in
10493 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10494 *) ac_try_echo=$ac_try;;
10495 esac
10496 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10497 $as_echo "$ac_try_echo") >&5
10498 (eval "$ac_compile") 2>conftest.er1
10499 ac_status=$?
10500 grep -v '^ *+' conftest.er1 >conftest.err
10501 rm -f conftest.er1
10502 cat conftest.err >&5
10503 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10504 (exit $ac_status); } && {
10505 test -z "$ac_c_werror_flag" ||
10506 test ! -s conftest.err
10507 } && test -s conftest.$ac_objext; then
10508 :
10509 else
10510 $as_echo "$as_me: failed program was:" >&5
10511 sed 's/^/| /' conftest.$ac_ext >&5
10512
10513 ac_cv_type_pid_t=yes
10514 fi
10515
10516 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10517 else
10518 $as_echo "$as_me: failed program was:" >&5
10519 sed 's/^/| /' conftest.$ac_ext >&5
10520
10521
10522 fi
10523
10524 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10525 fi
10526 { $as_echo "$as_me:$LINENO: result: $ac_cv_type_pid_t" >&5
10527 $as_echo "$ac_cv_type_pid_t" >&6; }
10528 if test "x$ac_cv_type_pid_t" = x""yes; then
10529 :
8126 ac_fn_c_check_type "$LINENO" "pid_t" "ac_cv_type_pid_t" "$ac_includes_default"
8127 if test "x$ac_cv_type_pid_t" = xyes; then :
8128
105308129 else
105318130
105328131 cat >>confdefs.h <<_ACEOF
105358134
105368135 fi
105378136
10538 { $as_echo "$as_me:$LINENO: checking for size_t" >&5
10539 $as_echo_n "checking for size_t... " >&6; }
10540 if test "${ac_cv_type_size_t+set}" = set; then
10541 $as_echo_n "(cached) " >&6
10542 else
10543 ac_cv_type_size_t=no
10544 cat >conftest.$ac_ext <<_ACEOF
10545 /* confdefs.h. */
10546 _ACEOF
10547 cat confdefs.h >>conftest.$ac_ext
10548 cat >>conftest.$ac_ext <<_ACEOF
10549 /* end confdefs.h. */
10550 $ac_includes_default
10551 int
10552 main ()
10553 {
10554 if (sizeof (size_t))
10555 return 0;
10556 ;
10557 return 0;
10558 }
10559 _ACEOF
10560 rm -f conftest.$ac_objext
10561 if { (ac_try="$ac_compile"
10562 case "(($ac_try" in
10563 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10564 *) ac_try_echo=$ac_try;;
10565 esac
10566 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10567 $as_echo "$ac_try_echo") >&5
10568 (eval "$ac_compile") 2>conftest.er1
10569 ac_status=$?
10570 grep -v '^ *+' conftest.er1 >conftest.err
10571 rm -f conftest.er1
10572 cat conftest.err >&5
10573 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10574 (exit $ac_status); } && {
10575 test -z "$ac_c_werror_flag" ||
10576 test ! -s conftest.err
10577 } && test -s conftest.$ac_objext; then
10578 cat >conftest.$ac_ext <<_ACEOF
10579 /* confdefs.h. */
10580 _ACEOF
10581 cat confdefs.h >>conftest.$ac_ext
10582 cat >>conftest.$ac_ext <<_ACEOF
10583 /* end confdefs.h. */
10584 $ac_includes_default
10585 int
10586 main ()
10587 {
10588 if (sizeof ((size_t)))
10589 return 0;
10590 ;
10591 return 0;
10592 }
10593 _ACEOF
10594 rm -f conftest.$ac_objext
10595 if { (ac_try="$ac_compile"
10596 case "(($ac_try" in
10597 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10598 *) ac_try_echo=$ac_try;;
10599 esac
10600 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10601 $as_echo "$ac_try_echo") >&5
10602 (eval "$ac_compile") 2>conftest.er1
10603 ac_status=$?
10604 grep -v '^ *+' conftest.er1 >conftest.err
10605 rm -f conftest.er1
10606 cat conftest.err >&5
10607 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10608 (exit $ac_status); } && {
10609 test -z "$ac_c_werror_flag" ||
10610 test ! -s conftest.err
10611 } && test -s conftest.$ac_objext; then
10612 :
10613 else
10614 $as_echo "$as_me: failed program was:" >&5
10615 sed 's/^/| /' conftest.$ac_ext >&5
10616
10617 ac_cv_type_size_t=yes
10618 fi
10619
10620 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10621 else
10622 $as_echo "$as_me: failed program was:" >&5
10623 sed 's/^/| /' conftest.$ac_ext >&5
10624
10625
10626 fi
10627
10628 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10629 fi
10630 { $as_echo "$as_me:$LINENO: result: $ac_cv_type_size_t" >&5
10631 $as_echo "$ac_cv_type_size_t" >&6; }
10632 if test "x$ac_cv_type_size_t" = x""yes; then
10633 :
8137 ac_fn_c_check_type "$LINENO" "size_t" "ac_cv_type_size_t" "$ac_includes_default"
8138 if test "x$ac_cv_type_size_t" = xyes; then :
8139
106348140 else
106358141
106368142 cat >>confdefs.h <<_ACEOF
106398145
106408146 fi
106418147
10642 { $as_echo "$as_me:$LINENO: checking whether time.h and sys/time.h may both be included" >&5
8148 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether time.h and sys/time.h may both be included" >&5
106438149 $as_echo_n "checking whether time.h and sys/time.h may both be included... " >&6; }
10644 if test "${ac_cv_header_time+set}" = set; then
8150 if ${ac_cv_header_time+:} false; then :
106458151 $as_echo_n "(cached) " >&6
106468152 else
10647 cat >conftest.$ac_ext <<_ACEOF
10648 /* confdefs.h. */
10649 _ACEOF
10650 cat confdefs.h >>conftest.$ac_ext
10651 cat >>conftest.$ac_ext <<_ACEOF
8153 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
106528154 /* end confdefs.h. */
106538155 #include <sys/types.h>
106548156 #include <sys/time.h>
106638165 return 0;
106648166 }
106658167 _ACEOF
10666 rm -f conftest.$ac_objext
10667 if { (ac_try="$ac_compile"
10668 case "(($ac_try" in
10669 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10670 *) ac_try_echo=$ac_try;;
10671 esac
10672 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10673 $as_echo "$ac_try_echo") >&5
10674 (eval "$ac_compile") 2>conftest.er1
10675 ac_status=$?
10676 grep -v '^ *+' conftest.er1 >conftest.err
10677 rm -f conftest.er1
10678 cat conftest.err >&5
10679 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10680 (exit $ac_status); } && {
10681 test -z "$ac_c_werror_flag" ||
10682 test ! -s conftest.err
10683 } && test -s conftest.$ac_objext; then
8168 if ac_fn_c_try_compile "$LINENO"; then :
106848169 ac_cv_header_time=yes
106858170 else
10686 $as_echo "$as_me: failed program was:" >&5
10687 sed 's/^/| /' conftest.$ac_ext >&5
10688
10689 ac_cv_header_time=no
10690 fi
10691
8171 ac_cv_header_time=no
8172 fi
106928173 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
106938174 fi
10694 { $as_echo "$as_me:$LINENO: result: $ac_cv_header_time" >&5
8175 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_header_time" >&5
106958176 $as_echo "$ac_cv_header_time" >&6; }
106968177 if test $ac_cv_header_time = yes; then
106978178
10698 cat >>confdefs.h <<\_ACEOF
10699 #define TIME_WITH_SYS_TIME 1
10700 _ACEOF
10701
10702 fi
10703
10704 { $as_echo "$as_me:$LINENO: checking whether struct tm is in sys/time.h or time.h" >&5
8179 $as_echo "#define TIME_WITH_SYS_TIME 1" >>confdefs.h
8180
8181 fi
8182
8183 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether struct tm is in sys/time.h or time.h" >&5
107058184 $as_echo_n "checking whether struct tm is in sys/time.h or time.h... " >&6; }
10706 if test "${ac_cv_struct_tm+set}" = set; then
8185 if ${ac_cv_struct_tm+:} false; then :
107078186 $as_echo_n "(cached) " >&6
107088187 else
10709 cat >conftest.$ac_ext <<_ACEOF
10710 /* confdefs.h. */
10711 _ACEOF
10712 cat confdefs.h >>conftest.$ac_ext
10713 cat >>conftest.$ac_ext <<_ACEOF
8188 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
107148189 /* end confdefs.h. */
107158190 #include <sys/types.h>
107168191 #include <time.h>
107258200 return 0;
107268201 }
107278202 _ACEOF
10728 rm -f conftest.$ac_objext
10729 if { (ac_try="$ac_compile"
10730 case "(($ac_try" in
10731 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10732 *) ac_try_echo=$ac_try;;
10733 esac
10734 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10735 $as_echo "$ac_try_echo") >&5
10736 (eval "$ac_compile") 2>conftest.er1
10737 ac_status=$?
10738 grep -v '^ *+' conftest.er1 >conftest.err
10739 rm -f conftest.er1
10740 cat conftest.err >&5
10741 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10742 (exit $ac_status); } && {
10743 test -z "$ac_c_werror_flag" ||
10744 test ! -s conftest.err
10745 } && test -s conftest.$ac_objext; then
8203 if ac_fn_c_try_compile "$LINENO"; then :
107468204 ac_cv_struct_tm=time.h
107478205 else
10748 $as_echo "$as_me: failed program was:" >&5
10749 sed 's/^/| /' conftest.$ac_ext >&5
10750
10751 ac_cv_struct_tm=sys/time.h
10752 fi
10753
8206 ac_cv_struct_tm=sys/time.h
8207 fi
107548208 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
107558209 fi
10756 { $as_echo "$as_me:$LINENO: result: $ac_cv_struct_tm" >&5
8210 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_struct_tm" >&5
107578211 $as_echo "$ac_cv_struct_tm" >&6; }
107588212 if test $ac_cv_struct_tm = sys/time.h; then
107598213
10760 cat >>confdefs.h <<\_ACEOF
10761 #define TM_IN_SYS_TIME 1
10762 _ACEOF
10763
10764 fi
10765
10766 { $as_echo "$as_me:$LINENO: checking for ptrdiff_t" >&5
10767 $as_echo_n "checking for ptrdiff_t... " >&6; }
10768 if test "${ac_cv_type_ptrdiff_t+set}" = set; then
10769 $as_echo_n "(cached) " >&6
10770 else
10771 ac_cv_type_ptrdiff_t=no
10772 cat >conftest.$ac_ext <<_ACEOF
10773 /* confdefs.h. */
10774 _ACEOF
10775 cat confdefs.h >>conftest.$ac_ext
10776 cat >>conftest.$ac_ext <<_ACEOF
10777 /* end confdefs.h. */
10778 $ac_includes_default
10779 int
10780 main ()
10781 {
10782 if (sizeof (ptrdiff_t))
10783 return 0;
10784 ;
10785 return 0;
10786 }
10787 _ACEOF
10788 rm -f conftest.$ac_objext
10789 if { (ac_try="$ac_compile"
10790 case "(($ac_try" in
10791 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10792 *) ac_try_echo=$ac_try;;
10793 esac
10794 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10795 $as_echo "$ac_try_echo") >&5
10796 (eval "$ac_compile") 2>conftest.er1
10797 ac_status=$?
10798 grep -v '^ *+' conftest.er1 >conftest.err
10799 rm -f conftest.er1
10800 cat conftest.err >&5
10801 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10802 (exit $ac_status); } && {
10803 test -z "$ac_c_werror_flag" ||
10804 test ! -s conftest.err
10805 } && test -s conftest.$ac_objext; then
10806 cat >conftest.$ac_ext <<_ACEOF
10807 /* confdefs.h. */
10808 _ACEOF
10809 cat confdefs.h >>conftest.$ac_ext
10810 cat >>conftest.$ac_ext <<_ACEOF
10811 /* end confdefs.h. */
10812 $ac_includes_default
10813 int
10814 main ()
10815 {
10816 if (sizeof ((ptrdiff_t)))
10817 return 0;
10818 ;
10819 return 0;
10820 }
10821 _ACEOF
10822 rm -f conftest.$ac_objext
10823 if { (ac_try="$ac_compile"
10824 case "(($ac_try" in
10825 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10826 *) ac_try_echo=$ac_try;;
10827 esac
10828 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10829 $as_echo "$ac_try_echo") >&5
10830 (eval "$ac_compile") 2>conftest.er1
10831 ac_status=$?
10832 grep -v '^ *+' conftest.er1 >conftest.err
10833 rm -f conftest.er1
10834 cat conftest.err >&5
10835 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10836 (exit $ac_status); } && {
10837 test -z "$ac_c_werror_flag" ||
10838 test ! -s conftest.err
10839 } && test -s conftest.$ac_objext; then
10840 :
10841 else
10842 $as_echo "$as_me: failed program was:" >&5
10843 sed 's/^/| /' conftest.$ac_ext >&5
10844
10845 ac_cv_type_ptrdiff_t=yes
10846 fi
10847
10848 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10849 else
10850 $as_echo "$as_me: failed program was:" >&5
10851 sed 's/^/| /' conftest.$ac_ext >&5
10852
10853
10854 fi
10855
10856 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
10857 fi
10858 { $as_echo "$as_me:$LINENO: result: $ac_cv_type_ptrdiff_t" >&5
10859 $as_echo "$ac_cv_type_ptrdiff_t" >&6; }
10860 if test "x$ac_cv_type_ptrdiff_t" = x""yes; then
8214 $as_echo "#define TM_IN_SYS_TIME 1" >>confdefs.h
8215
8216 fi
8217
8218 ac_fn_c_check_type "$LINENO" "ptrdiff_t" "ac_cv_type_ptrdiff_t" "$ac_includes_default"
8219 if test "x$ac_cv_type_ptrdiff_t" = xyes; then :
108618220
108628221 cat >>confdefs.h <<_ACEOF
108638222 #define HAVE_PTRDIFF_T 1
108678226 fi
108688227
108698228 # Check whether --enable-largefile was given.
10870 if test "${enable_largefile+set}" = set; then
8229 if test "${enable_largefile+set}" = set; then :
108718230 enableval=$enable_largefile;
108728231 fi
108738232
108748233 if test "$enable_largefile" != no; then
108758234
10876 { $as_echo "$as_me:$LINENO: checking for special C compiler options needed for large files" >&5
8235 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for special C compiler options needed for large files" >&5
108778236 $as_echo_n "checking for special C compiler options needed for large files... " >&6; }
10878 if test "${ac_cv_sys_largefile_CC+set}" = set; then
8237 if ${ac_cv_sys_largefile_CC+:} false; then :
108798238 $as_echo_n "(cached) " >&6
108808239 else
108818240 ac_cv_sys_largefile_CC=no
108848243 while :; do
108858244 # IRIX 6.2 and later do not support large files by default,
108868245 # so use the C compiler's -n32 option if that helps.
10887 cat >conftest.$ac_ext <<_ACEOF
10888 /* confdefs.h. */
10889 _ACEOF
10890 cat confdefs.h >>conftest.$ac_ext
10891 cat >>conftest.$ac_ext <<_ACEOF
8246 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
108928247 /* end confdefs.h. */
108938248 #include <sys/types.h>
108948249 /* Check that off_t can represent 2**63 - 1 correctly.
109078262 return 0;
109088263 }
109098264 _ACEOF
10910 rm -f conftest.$ac_objext
10911 if { (ac_try="$ac_compile"
10912 case "(($ac_try" in
10913 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10914 *) ac_try_echo=$ac_try;;
10915 esac
10916 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10917 $as_echo "$ac_try_echo") >&5
10918 (eval "$ac_compile") 2>conftest.er1
10919 ac_status=$?
10920 grep -v '^ *+' conftest.er1 >conftest.err
10921 rm -f conftest.er1
10922 cat conftest.err >&5
10923 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10924 (exit $ac_status); } && {
10925 test -z "$ac_c_werror_flag" ||
10926 test ! -s conftest.err
10927 } && test -s conftest.$ac_objext; then
8265 if ac_fn_c_try_compile "$LINENO"; then :
109288266 break
10929 else
10930 $as_echo "$as_me: failed program was:" >&5
10931 sed 's/^/| /' conftest.$ac_ext >&5
10932
10933
10934 fi
10935
8267 fi
109368268 rm -f core conftest.err conftest.$ac_objext
109378269 CC="$CC -n32"
10938 rm -f conftest.$ac_objext
10939 if { (ac_try="$ac_compile"
10940 case "(($ac_try" in
10941 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
10942 *) ac_try_echo=$ac_try;;
10943 esac
10944 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
10945 $as_echo "$ac_try_echo") >&5
10946 (eval "$ac_compile") 2>conftest.er1
10947 ac_status=$?
10948 grep -v '^ *+' conftest.er1 >conftest.err
10949 rm -f conftest.er1
10950 cat conftest.err >&5
10951 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
10952 (exit $ac_status); } && {
10953 test -z "$ac_c_werror_flag" ||
10954 test ! -s conftest.err
10955 } && test -s conftest.$ac_objext; then
8270 if ac_fn_c_try_compile "$LINENO"; then :
109568271 ac_cv_sys_largefile_CC=' -n32'; break
10957 else
10958 $as_echo "$as_me: failed program was:" >&5
10959 sed 's/^/| /' conftest.$ac_ext >&5
10960
10961
10962 fi
10963
8272 fi
109648273 rm -f core conftest.err conftest.$ac_objext
109658274 break
109668275 done
109688277 rm -f conftest.$ac_ext
109698278 fi
109708279 fi
10971 { $as_echo "$as_me:$LINENO: result: $ac_cv_sys_largefile_CC" >&5
8280 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sys_largefile_CC" >&5
109728281 $as_echo "$ac_cv_sys_largefile_CC" >&6; }
109738282 if test "$ac_cv_sys_largefile_CC" != no; then
109748283 CC=$CC$ac_cv_sys_largefile_CC
109758284 fi
109768285
10977 { $as_echo "$as_me:$LINENO: checking for _FILE_OFFSET_BITS value needed for large files" >&5
8286 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for _FILE_OFFSET_BITS value needed for large files" >&5
109788287 $as_echo_n "checking for _FILE_OFFSET_BITS value needed for large files... " >&6; }
10979 if test "${ac_cv_sys_file_offset_bits+set}" = set; then
8288 if ${ac_cv_sys_file_offset_bits+:} false; then :
109808289 $as_echo_n "(cached) " >&6
109818290 else
109828291 while :; do
10983 cat >conftest.$ac_ext <<_ACEOF
10984 /* confdefs.h. */
10985 _ACEOF
10986 cat confdefs.h >>conftest.$ac_ext
10987 cat >>conftest.$ac_ext <<_ACEOF
8292 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
109888293 /* end confdefs.h. */
109898294 #include <sys/types.h>
109908295 /* Check that off_t can represent 2**63 - 1 correctly.
110038308 return 0;
110048309 }
110058310 _ACEOF
11006 rm -f conftest.$ac_objext
11007 if { (ac_try="$ac_compile"
11008 case "(($ac_try" in
11009 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11010 *) ac_try_echo=$ac_try;;
11011 esac
11012 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11013 $as_echo "$ac_try_echo") >&5
11014 (eval "$ac_compile") 2>conftest.er1
11015 ac_status=$?
11016 grep -v '^ *+' conftest.er1 >conftest.err
11017 rm -f conftest.er1
11018 cat conftest.err >&5
11019 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11020 (exit $ac_status); } && {
11021 test -z "$ac_c_werror_flag" ||
11022 test ! -s conftest.err
11023 } && test -s conftest.$ac_objext; then
8311 if ac_fn_c_try_compile "$LINENO"; then :
110248312 ac_cv_sys_file_offset_bits=no; break
11025 else
11026 $as_echo "$as_me: failed program was:" >&5
11027 sed 's/^/| /' conftest.$ac_ext >&5
11028
11029
11030 fi
11031
8313 fi
110328314 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
11033 cat >conftest.$ac_ext <<_ACEOF
11034 /* confdefs.h. */
11035 _ACEOF
11036 cat confdefs.h >>conftest.$ac_ext
11037 cat >>conftest.$ac_ext <<_ACEOF
8315 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
110388316 /* end confdefs.h. */
110398317 #define _FILE_OFFSET_BITS 64
110408318 #include <sys/types.h>
110548332 return 0;
110558333 }
110568334 _ACEOF
11057 rm -f conftest.$ac_objext
11058 if { (ac_try="$ac_compile"
11059 case "(($ac_try" in
11060 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11061 *) ac_try_echo=$ac_try;;
11062 esac
11063 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11064 $as_echo "$ac_try_echo") >&5
11065 (eval "$ac_compile") 2>conftest.er1
11066 ac_status=$?
11067 grep -v '^ *+' conftest.er1 >conftest.err
11068 rm -f conftest.er1
11069 cat conftest.err >&5
11070 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11071 (exit $ac_status); } && {
11072 test -z "$ac_c_werror_flag" ||
11073 test ! -s conftest.err
11074 } && test -s conftest.$ac_objext; then
8335 if ac_fn_c_try_compile "$LINENO"; then :
110758336 ac_cv_sys_file_offset_bits=64; break
11076 else
11077 $as_echo "$as_me: failed program was:" >&5
11078 sed 's/^/| /' conftest.$ac_ext >&5
11079
11080
11081 fi
11082
8337 fi
110838338 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
110848339 ac_cv_sys_file_offset_bits=unknown
110858340 break
110868341 done
110878342 fi
11088 { $as_echo "$as_me:$LINENO: result: $ac_cv_sys_file_offset_bits" >&5
8343 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sys_file_offset_bits" >&5
110898344 $as_echo "$ac_cv_sys_file_offset_bits" >&6; }
110908345 case $ac_cv_sys_file_offset_bits in #(
110918346 no | unknown) ;;
110978352 esac
110988353 rm -rf conftest*
110998354 if test $ac_cv_sys_file_offset_bits = unknown; then
11100 { $as_echo "$as_me:$LINENO: checking for _LARGE_FILES value needed for large files" >&5
8355 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for _LARGE_FILES value needed for large files" >&5
111018356 $as_echo_n "checking for _LARGE_FILES value needed for large files... " >&6; }
11102 if test "${ac_cv_sys_large_files+set}" = set; then
8357 if ${ac_cv_sys_large_files+:} false; then :
111038358 $as_echo_n "(cached) " >&6
111048359 else
111058360 while :; do
11106 cat >conftest.$ac_ext <<_ACEOF
11107 /* confdefs.h. */
11108 _ACEOF
11109 cat confdefs.h >>conftest.$ac_ext
11110 cat >>conftest.$ac_ext <<_ACEOF
8361 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
111118362 /* end confdefs.h. */
111128363 #include <sys/types.h>
111138364 /* Check that off_t can represent 2**63 - 1 correctly.
111268377 return 0;
111278378 }
111288379 _ACEOF
11129 rm -f conftest.$ac_objext
11130 if { (ac_try="$ac_compile"
11131 case "(($ac_try" in
11132 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11133 *) ac_try_echo=$ac_try;;
11134 esac
11135 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11136 $as_echo "$ac_try_echo") >&5
11137 (eval "$ac_compile") 2>conftest.er1
11138 ac_status=$?
11139 grep -v '^ *+' conftest.er1 >conftest.err
11140 rm -f conftest.er1
11141 cat conftest.err >&5
11142 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11143 (exit $ac_status); } && {
11144 test -z "$ac_c_werror_flag" ||
11145 test ! -s conftest.err
11146 } && test -s conftest.$ac_objext; then
8380 if ac_fn_c_try_compile "$LINENO"; then :
111478381 ac_cv_sys_large_files=no; break
11148 else
11149 $as_echo "$as_me: failed program was:" >&5
11150 sed 's/^/| /' conftest.$ac_ext >&5
11151
11152
11153 fi
11154
8382 fi
111558383 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
11156 cat >conftest.$ac_ext <<_ACEOF
11157 /* confdefs.h. */
11158 _ACEOF
11159 cat confdefs.h >>conftest.$ac_ext
11160 cat >>conftest.$ac_ext <<_ACEOF
8384 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
111618385 /* end confdefs.h. */
111628386 #define _LARGE_FILES 1
111638387 #include <sys/types.h>
111778401 return 0;
111788402 }
111798403 _ACEOF
11180 rm -f conftest.$ac_objext
11181 if { (ac_try="$ac_compile"
11182 case "(($ac_try" in
11183 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11184 *) ac_try_echo=$ac_try;;
11185 esac
11186 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11187 $as_echo "$ac_try_echo") >&5
11188 (eval "$ac_compile") 2>conftest.er1
11189 ac_status=$?
11190 grep -v '^ *+' conftest.er1 >conftest.err
11191 rm -f conftest.er1
11192 cat conftest.err >&5
11193 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11194 (exit $ac_status); } && {
11195 test -z "$ac_c_werror_flag" ||
11196 test ! -s conftest.err
11197 } && test -s conftest.$ac_objext; then
8404 if ac_fn_c_try_compile "$LINENO"; then :
111988405 ac_cv_sys_large_files=1; break
11199 else
11200 $as_echo "$as_me: failed program was:" >&5
11201 sed 's/^/| /' conftest.$ac_ext >&5
11202
11203
11204 fi
11205
8406 fi
112068407 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
112078408 ac_cv_sys_large_files=unknown
112088409 break
112098410 done
112108411 fi
11211 { $as_echo "$as_me:$LINENO: result: $ac_cv_sys_large_files" >&5
8412 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sys_large_files" >&5
112128413 $as_echo "$ac_cv_sys_large_files" >&6; }
112138414 case $ac_cv_sys_large_files in #(
112148415 no | unknown) ;;
112208421 esac
112218422 rm -rf conftest*
112228423 fi
8424
8425
112238426 fi
112248427
112258428
112268429 # Checks for library functions. (malloc/realloc removed to get rid of rpl_xxx substitutions)
112278430 # AC_FUNC_MALLOC
112288431 # AC_FUNC_REALLOC
11229 { $as_echo "$as_me:$LINENO: checking for error_at_line" >&5
8432 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for error_at_line" >&5
112308433 $as_echo_n "checking for error_at_line... " >&6; }
11231 if test "${ac_cv_lib_error_at_line+set}" = set; then
8434 if ${ac_cv_lib_error_at_line+:} false; then :
112328435 $as_echo_n "(cached) " >&6
112338436 else
11234 cat >conftest.$ac_ext <<_ACEOF
11235 /* confdefs.h. */
11236 _ACEOF
11237 cat confdefs.h >>conftest.$ac_ext
11238 cat >>conftest.$ac_ext <<_ACEOF
8437 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
112398438 /* end confdefs.h. */
112408439 #include <error.h>
112418440 int
112468445 return 0;
112478446 }
112488447 _ACEOF
11249 rm -f conftest.$ac_objext conftest$ac_exeext
11250 if { (ac_try="$ac_link"
11251 case "(($ac_try" in
11252 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11253 *) ac_try_echo=$ac_try;;
11254 esac
11255 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11256 $as_echo "$ac_try_echo") >&5
11257 (eval "$ac_link") 2>conftest.er1
11258 ac_status=$?
11259 grep -v '^ *+' conftest.er1 >conftest.err
11260 rm -f conftest.er1
11261 cat conftest.err >&5
11262 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11263 (exit $ac_status); } && {
11264 test -z "$ac_c_werror_flag" ||
11265 test ! -s conftest.err
11266 } && test -s conftest$ac_exeext && {
11267 test "$cross_compiling" = yes ||
11268 $as_test_x conftest$ac_exeext
11269 }; then
8448 if ac_fn_c_try_link "$LINENO"; then :
112708449 ac_cv_lib_error_at_line=yes
112718450 else
11272 $as_echo "$as_me: failed program was:" >&5
11273 sed 's/^/| /' conftest.$ac_ext >&5
11274
11275 ac_cv_lib_error_at_line=no
11276 fi
11277
11278 rm -rf conftest.dSYM
11279 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
11280 conftest$ac_exeext conftest.$ac_ext
11281 fi
11282 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_error_at_line" >&5
8451 ac_cv_lib_error_at_line=no
8452 fi
8453 rm -f core conftest.err conftest.$ac_objext \
8454 conftest$ac_exeext conftest.$ac_ext
8455 fi
8456 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_error_at_line" >&5
112838457 $as_echo "$ac_cv_lib_error_at_line" >&6; }
112848458 if test $ac_cv_lib_error_at_line = no; then
112858459 case " $LIBOBJS " in
112908464
112918465 fi
112928466
11293
112948467 for ac_header in vfork.h
11295 do
11296 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
11297 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
11298 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
11299 $as_echo_n "checking for $ac_header... " >&6; }
11300 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
11301 $as_echo_n "(cached) " >&6
11302 fi
11303 ac_res=`eval 'as_val=${'$as_ac_Header'}
11304 $as_echo "$as_val"'`
11305 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
11306 $as_echo "$ac_res" >&6; }
11307 else
11308 # Is the header compilable?
11309 { $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
11310 $as_echo_n "checking $ac_header usability... " >&6; }
11311 cat >conftest.$ac_ext <<_ACEOF
11312 /* confdefs.h. */
11313 _ACEOF
11314 cat confdefs.h >>conftest.$ac_ext
11315 cat >>conftest.$ac_ext <<_ACEOF
11316 /* end confdefs.h. */
11317 $ac_includes_default
11318 #include <$ac_header>
11319 _ACEOF
11320 rm -f conftest.$ac_objext
11321 if { (ac_try="$ac_compile"
11322 case "(($ac_try" in
11323 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11324 *) ac_try_echo=$ac_try;;
11325 esac
11326 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11327 $as_echo "$ac_try_echo") >&5
11328 (eval "$ac_compile") 2>conftest.er1
11329 ac_status=$?
11330 grep -v '^ *+' conftest.er1 >conftest.err
11331 rm -f conftest.er1
11332 cat conftest.err >&5
11333 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11334 (exit $ac_status); } && {
11335 test -z "$ac_c_werror_flag" ||
11336 test ! -s conftest.err
11337 } && test -s conftest.$ac_objext; then
11338 ac_header_compiler=yes
11339 else
11340 $as_echo "$as_me: failed program was:" >&5
11341 sed 's/^/| /' conftest.$ac_ext >&5
11342
11343 ac_header_compiler=no
11344 fi
11345
11346 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
11347 { $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
11348 $as_echo "$ac_header_compiler" >&6; }
11349
11350 # Is the header present?
11351 { $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
11352 $as_echo_n "checking $ac_header presence... " >&6; }
11353 cat >conftest.$ac_ext <<_ACEOF
11354 /* confdefs.h. */
11355 _ACEOF
11356 cat confdefs.h >>conftest.$ac_ext
11357 cat >>conftest.$ac_ext <<_ACEOF
11358 /* end confdefs.h. */
11359 #include <$ac_header>
11360 _ACEOF
11361 if { (ac_try="$ac_cpp conftest.$ac_ext"
11362 case "(($ac_try" in
11363 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11364 *) ac_try_echo=$ac_try;;
11365 esac
11366 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11367 $as_echo "$ac_try_echo") >&5
11368 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
11369 ac_status=$?
11370 grep -v '^ *+' conftest.er1 >conftest.err
11371 rm -f conftest.er1
11372 cat conftest.err >&5
11373 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11374 (exit $ac_status); } >/dev/null && {
11375 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
11376 test ! -s conftest.err
11377 }; then
11378 ac_header_preproc=yes
11379 else
11380 $as_echo "$as_me: failed program was:" >&5
11381 sed 's/^/| /' conftest.$ac_ext >&5
11382
11383 ac_header_preproc=no
11384 fi
11385
11386 rm -f conftest.err conftest.$ac_ext
11387 { $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
11388 $as_echo "$ac_header_preproc" >&6; }
11389
11390 # So? What about this header?
11391 case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
11392 yes:no: )
11393 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
11394 $as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
11395 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
11396 $as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
11397 ac_header_preproc=yes
11398 ;;
11399 no:yes:* )
11400 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
11401 $as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
11402 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: check for missing prerequisite headers?" >&5
11403 $as_echo "$as_me: WARNING: $ac_header: check for missing prerequisite headers?" >&2;}
11404 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
11405 $as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
11406 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&5
11407 $as_echo "$as_me: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&2;}
11408 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
11409 $as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
11410 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
11411 $as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
11412 ( cat <<\_ASBOX
11413 ## ------------------------------------ ##
11414 ## Report this to bybell@rocketmail.com ##
11415 ## ------------------------------------ ##
11416 _ASBOX
11417 ) | sed "s/^/$as_me: WARNING: /" >&2
11418 ;;
11419 esac
11420 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
11421 $as_echo_n "checking for $ac_header... " >&6; }
11422 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
11423 $as_echo_n "(cached) " >&6
11424 else
11425 eval "$as_ac_Header=\$ac_header_preproc"
11426 fi
11427 ac_res=`eval 'as_val=${'$as_ac_Header'}
11428 $as_echo "$as_val"'`
11429 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
11430 $as_echo "$ac_res" >&6; }
11431
11432 fi
11433 as_val=`eval 'as_val=${'$as_ac_Header'}
11434 $as_echo "$as_val"'`
11435 if test "x$as_val" = x""yes; then
8468 do :
8469 ac_fn_c_check_header_mongrel "$LINENO" "vfork.h" "ac_cv_header_vfork_h" "$ac_includes_default"
8470 if test "x$ac_cv_header_vfork_h" = xyes; then :
114368471 cat >>confdefs.h <<_ACEOF
11437 #define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
8472 #define HAVE_VFORK_H 1
114388473 _ACEOF
114398474
114408475 fi
114418476
114428477 done
114438478
11444
11445
114468479 for ac_func in fork vfork
11447 do
11448 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
11449 { $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
11450 $as_echo_n "checking for $ac_func... " >&6; }
11451 if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
11452 $as_echo_n "(cached) " >&6
11453 else
11454 cat >conftest.$ac_ext <<_ACEOF
11455 /* confdefs.h. */
11456 _ACEOF
11457 cat confdefs.h >>conftest.$ac_ext
11458 cat >>conftest.$ac_ext <<_ACEOF
11459 /* end confdefs.h. */
11460 /* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
11461 For example, HP-UX 11i <limits.h> declares gettimeofday. */
11462 #define $ac_func innocuous_$ac_func
11463
11464 /* System header to define __stub macros and hopefully few prototypes,
11465 which can conflict with char $ac_func (); below.
11466 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
11467 <limits.h> exists even on freestanding compilers. */
11468
11469 #ifdef __STDC__
11470 # include <limits.h>
11471 #else
11472 # include <assert.h>
11473 #endif
11474
11475 #undef $ac_func
11476
11477 /* Override any GCC internal prototype to avoid an error.
11478 Use char because int might match the return type of a GCC
11479 builtin and then its argument prototype would still apply. */
11480 #ifdef __cplusplus
11481 extern "C"
11482 #endif
11483 char $ac_func ();
11484 /* The GNU C library defines this for functions which it implements
11485 to always fail with ENOSYS. Some functions are actually named
11486 something starting with __ and the normal name is an alias. */
11487 #if defined __stub_$ac_func || defined __stub___$ac_func
11488 choke me
11489 #endif
11490
11491 int
11492 main ()
11493 {
11494 return $ac_func ();
11495 ;
11496 return 0;
11497 }
11498 _ACEOF
11499 rm -f conftest.$ac_objext conftest$ac_exeext
11500 if { (ac_try="$ac_link"
11501 case "(($ac_try" in
11502 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11503 *) ac_try_echo=$ac_try;;
11504 esac
11505 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11506 $as_echo "$ac_try_echo") >&5
11507 (eval "$ac_link") 2>conftest.er1
11508 ac_status=$?
11509 grep -v '^ *+' conftest.er1 >conftest.err
11510 rm -f conftest.er1
11511 cat conftest.err >&5
11512 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11513 (exit $ac_status); } && {
11514 test -z "$ac_c_werror_flag" ||
11515 test ! -s conftest.err
11516 } && test -s conftest$ac_exeext && {
11517 test "$cross_compiling" = yes ||
11518 $as_test_x conftest$ac_exeext
11519 }; then
11520 eval "$as_ac_var=yes"
11521 else
11522 $as_echo "$as_me: failed program was:" >&5
11523 sed 's/^/| /' conftest.$ac_ext >&5
11524
11525 eval "$as_ac_var=no"
11526 fi
11527
11528 rm -rf conftest.dSYM
11529 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
11530 conftest$ac_exeext conftest.$ac_ext
11531 fi
11532 ac_res=`eval 'as_val=${'$as_ac_var'}
11533 $as_echo "$as_val"'`
11534 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
11535 $as_echo "$ac_res" >&6; }
11536 as_val=`eval 'as_val=${'$as_ac_var'}
11537 $as_echo "$as_val"'`
11538 if test "x$as_val" = x""yes; then
8480 do :
8481 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
8482 ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
8483 if eval test \"x\$"$as_ac_var"\" = x"yes"; then :
115398484 cat >>confdefs.h <<_ACEOF
115408485 #define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
115418486 _ACEOF
115448489 done
115458490
115468491 if test "x$ac_cv_func_fork" = xyes; then
11547 { $as_echo "$as_me:$LINENO: checking for working fork" >&5
8492 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for working fork" >&5
115488493 $as_echo_n "checking for working fork... " >&6; }
11549 if test "${ac_cv_func_fork_works+set}" = set; then
8494 if ${ac_cv_func_fork_works+:} false; then :
115508495 $as_echo_n "(cached) " >&6
115518496 else
11552 if test "$cross_compiling" = yes; then
8497 if test "$cross_compiling" = yes; then :
115538498 ac_cv_func_fork_works=cross
115548499 else
11555 cat >conftest.$ac_ext <<_ACEOF
11556 /* confdefs.h. */
11557 _ACEOF
11558 cat confdefs.h >>conftest.$ac_ext
11559 cat >>conftest.$ac_ext <<_ACEOF
8500 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
115608501 /* end confdefs.h. */
115618502 $ac_includes_default
115628503 int
115708511 return 0;
115718512 }
115728513 _ACEOF
11573 rm -f conftest$ac_exeext
11574 if { (ac_try="$ac_link"
11575 case "(($ac_try" in
11576 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11577 *) ac_try_echo=$ac_try;;
11578 esac
11579 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11580 $as_echo "$ac_try_echo") >&5
11581 (eval "$ac_link") 2>&5
11582 ac_status=$?
11583 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11584 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
11585 { (case "(($ac_try" in
11586 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11587 *) ac_try_echo=$ac_try;;
11588 esac
11589 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11590 $as_echo "$ac_try_echo") >&5
11591 (eval "$ac_try") 2>&5
11592 ac_status=$?
11593 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11594 (exit $ac_status); }; }; then
8514 if ac_fn_c_try_run "$LINENO"; then :
115958515 ac_cv_func_fork_works=yes
115968516 else
11597 $as_echo "$as_me: program exited with status $ac_status" >&5
11598 $as_echo "$as_me: failed program was:" >&5
11599 sed 's/^/| /' conftest.$ac_ext >&5
11600
11601 ( exit $ac_status )
11602 ac_cv_func_fork_works=no
11603 fi
11604 rm -rf conftest.dSYM
11605 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
11606 fi
11607
11608
11609 fi
11610 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_fork_works" >&5
8517 ac_cv_func_fork_works=no
8518 fi
8519 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
8520 conftest.$ac_objext conftest.beam conftest.$ac_ext
8521 fi
8522
8523 fi
8524 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_fork_works" >&5
116118525 $as_echo "$ac_cv_func_fork_works" >&6; }
116128526
116138527 else
116238537 ac_cv_func_fork_works=yes
116248538 ;;
116258539 esac
11626 { $as_echo "$as_me:$LINENO: WARNING: result $ac_cv_func_fork_works guessed because of cross compilation" >&5
8540 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: result $ac_cv_func_fork_works guessed because of cross compilation" >&5
116278541 $as_echo "$as_me: WARNING: result $ac_cv_func_fork_works guessed because of cross compilation" >&2;}
116288542 fi
116298543 ac_cv_func_vfork_works=$ac_cv_func_vfork
116308544 if test "x$ac_cv_func_vfork" = xyes; then
11631 { $as_echo "$as_me:$LINENO: checking for working vfork" >&5
8545 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for working vfork" >&5
116328546 $as_echo_n "checking for working vfork... " >&6; }
11633 if test "${ac_cv_func_vfork_works+set}" = set; then
8547 if ${ac_cv_func_vfork_works+:} false; then :
116348548 $as_echo_n "(cached) " >&6
116358549 else
11636 if test "$cross_compiling" = yes; then
8550 if test "$cross_compiling" = yes; then :
116378551 ac_cv_func_vfork_works=cross
116388552 else
11639 cat >conftest.$ac_ext <<_ACEOF
11640 /* confdefs.h. */
11641 _ACEOF
11642 cat confdefs.h >>conftest.$ac_ext
11643 cat >>conftest.$ac_ext <<_ACEOF
8553 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
116448554 /* end confdefs.h. */
116458555 /* Thanks to Paul Eggert for this test. */
116468556 $ac_includes_default
117328642 }
117338643 }
117348644 _ACEOF
11735 rm -f conftest$ac_exeext
11736 if { (ac_try="$ac_link"
11737 case "(($ac_try" in
11738 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11739 *) ac_try_echo=$ac_try;;
11740 esac
11741 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11742 $as_echo "$ac_try_echo") >&5
11743 (eval "$ac_link") 2>&5
11744 ac_status=$?
11745 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11746 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
11747 { (case "(($ac_try" in
11748 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11749 *) ac_try_echo=$ac_try;;
11750 esac
11751 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11752 $as_echo "$ac_try_echo") >&5
11753 (eval "$ac_try") 2>&5
11754 ac_status=$?
11755 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11756 (exit $ac_status); }; }; then
8645 if ac_fn_c_try_run "$LINENO"; then :
117578646 ac_cv_func_vfork_works=yes
117588647 else
11759 $as_echo "$as_me: program exited with status $ac_status" >&5
11760 $as_echo "$as_me: failed program was:" >&5
11761 sed 's/^/| /' conftest.$ac_ext >&5
11762
11763 ( exit $ac_status )
11764 ac_cv_func_vfork_works=no
11765 fi
11766 rm -rf conftest.dSYM
11767 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
11768 fi
11769
11770
11771 fi
11772 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_vfork_works" >&5
8648 ac_cv_func_vfork_works=no
8649 fi
8650 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
8651 conftest.$ac_objext conftest.beam conftest.$ac_ext
8652 fi
8653
8654 fi
8655 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_vfork_works" >&5
117738656 $as_echo "$ac_cv_func_vfork_works" >&6; }
117748657
117758658 fi;
117768659 if test "x$ac_cv_func_fork_works" = xcross; then
117778660 ac_cv_func_vfork_works=$ac_cv_func_vfork
11778 { $as_echo "$as_me:$LINENO: WARNING: result $ac_cv_func_vfork_works guessed because of cross compilation" >&5
8661 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: result $ac_cv_func_vfork_works guessed because of cross compilation" >&5
117798662 $as_echo "$as_me: WARNING: result $ac_cv_func_vfork_works guessed because of cross compilation" >&2;}
117808663 fi
117818664
117828665 if test "x$ac_cv_func_vfork_works" = xyes; then
117838666
11784 cat >>confdefs.h <<\_ACEOF
11785 #define HAVE_WORKING_VFORK 1
11786 _ACEOF
11787
11788 else
11789
11790 cat >>confdefs.h <<\_ACEOF
11791 #define vfork fork
11792 _ACEOF
8667 $as_echo "#define HAVE_WORKING_VFORK 1" >>confdefs.h
8668
8669 else
8670
8671 $as_echo "#define vfork fork" >>confdefs.h
117938672
117948673 fi
117958674 if test "x$ac_cv_func_fork_works" = xyes; then
117968675
11797 cat >>confdefs.h <<\_ACEOF
11798 #define HAVE_WORKING_FORK 1
11799 _ACEOF
11800
11801 fi
11802
11803 { $as_echo "$as_me:$LINENO: checking for _LARGEFILE_SOURCE value needed for large files" >&5
8676 $as_echo "#define HAVE_WORKING_FORK 1" >>confdefs.h
8677
8678 fi
8679
8680 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for _LARGEFILE_SOURCE value needed for large files" >&5
118048681 $as_echo_n "checking for _LARGEFILE_SOURCE value needed for large files... " >&6; }
11805 if test "${ac_cv_sys_largefile_source+set}" = set; then
8682 if ${ac_cv_sys_largefile_source+:} false; then :
118068683 $as_echo_n "(cached) " >&6
118078684 else
118088685 while :; do
11809 cat >conftest.$ac_ext <<_ACEOF
11810 /* confdefs.h. */
11811 _ACEOF
11812 cat confdefs.h >>conftest.$ac_ext
11813 cat >>conftest.$ac_ext <<_ACEOF
8686 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
118148687 /* end confdefs.h. */
118158688 #include <sys/types.h> /* for off_t */
118168689 #include <stdio.h>
118238696 return 0;
118248697 }
118258698 _ACEOF
11826 rm -f conftest.$ac_objext conftest$ac_exeext
11827 if { (ac_try="$ac_link"
11828 case "(($ac_try" in
11829 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11830 *) ac_try_echo=$ac_try;;
11831 esac
11832 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11833 $as_echo "$ac_try_echo") >&5
11834 (eval "$ac_link") 2>conftest.er1
11835 ac_status=$?
11836 grep -v '^ *+' conftest.er1 >conftest.err
11837 rm -f conftest.er1
11838 cat conftest.err >&5
11839 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11840 (exit $ac_status); } && {
11841 test -z "$ac_c_werror_flag" ||
11842 test ! -s conftest.err
11843 } && test -s conftest$ac_exeext && {
11844 test "$cross_compiling" = yes ||
11845 $as_test_x conftest$ac_exeext
11846 }; then
8699 if ac_fn_c_try_link "$LINENO"; then :
118478700 ac_cv_sys_largefile_source=no; break
11848 else
11849 $as_echo "$as_me: failed program was:" >&5
11850 sed 's/^/| /' conftest.$ac_ext >&5
11851
11852
11853 fi
11854
11855 rm -rf conftest.dSYM
11856 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
11857 conftest$ac_exeext conftest.$ac_ext
11858 cat >conftest.$ac_ext <<_ACEOF
11859 /* confdefs.h. */
11860 _ACEOF
11861 cat confdefs.h >>conftest.$ac_ext
11862 cat >>conftest.$ac_ext <<_ACEOF
8701 fi
8702 rm -f core conftest.err conftest.$ac_objext \
8703 conftest$ac_exeext conftest.$ac_ext
8704 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
118638705 /* end confdefs.h. */
118648706 #define _LARGEFILE_SOURCE 1
118658707 #include <sys/types.h> /* for off_t */
118738715 return 0;
118748716 }
118758717 _ACEOF
11876 rm -f conftest.$ac_objext conftest$ac_exeext
11877 if { (ac_try="$ac_link"
11878 case "(($ac_try" in
11879 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11880 *) ac_try_echo=$ac_try;;
11881 esac
11882 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11883 $as_echo "$ac_try_echo") >&5
11884 (eval "$ac_link") 2>conftest.er1
11885 ac_status=$?
11886 grep -v '^ *+' conftest.er1 >conftest.err
11887 rm -f conftest.er1
11888 cat conftest.err >&5
11889 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11890 (exit $ac_status); } && {
11891 test -z "$ac_c_werror_flag" ||
11892 test ! -s conftest.err
11893 } && test -s conftest$ac_exeext && {
11894 test "$cross_compiling" = yes ||
11895 $as_test_x conftest$ac_exeext
11896 }; then
8718 if ac_fn_c_try_link "$LINENO"; then :
118978719 ac_cv_sys_largefile_source=1; break
11898 else
11899 $as_echo "$as_me: failed program was:" >&5
11900 sed 's/^/| /' conftest.$ac_ext >&5
11901
11902
11903 fi
11904
11905 rm -rf conftest.dSYM
11906 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
11907 conftest$ac_exeext conftest.$ac_ext
8720 fi
8721 rm -f core conftest.err conftest.$ac_objext \
8722 conftest$ac_exeext conftest.$ac_ext
119088723 ac_cv_sys_largefile_source=unknown
119098724 break
119108725 done
119118726 fi
11912 { $as_echo "$as_me:$LINENO: result: $ac_cv_sys_largefile_source" >&5
8727 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_sys_largefile_source" >&5
119138728 $as_echo "$ac_cv_sys_largefile_source" >&6; }
119148729 case $ac_cv_sys_largefile_source in #(
119158730 no | unknown) ;;
119268741 # If you want fseeko and ftello with glibc, upgrade to a fixed glibc.
119278742 if test $ac_cv_sys_largefile_source != unknown; then
119288743
11929 cat >>confdefs.h <<\_ACEOF
11930 #define HAVE_FSEEKO 1
11931 _ACEOF
11932
11933 fi
11934
11935 { $as_echo "$as_me:$LINENO: checking for working memcmp" >&5
8744 $as_echo "#define HAVE_FSEEKO 1" >>confdefs.h
8745
8746 fi
8747
8748 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for working memcmp" >&5
119368749 $as_echo_n "checking for working memcmp... " >&6; }
11937 if test "${ac_cv_func_memcmp_working+set}" = set; then
8750 if ${ac_cv_func_memcmp_working+:} false; then :
119388751 $as_echo_n "(cached) " >&6
119398752 else
11940 if test "$cross_compiling" = yes; then
8753 if test "$cross_compiling" = yes; then :
119418754 ac_cv_func_memcmp_working=no
119428755 else
11943 cat >conftest.$ac_ext <<_ACEOF
11944 /* confdefs.h. */
11945 _ACEOF
11946 cat confdefs.h >>conftest.$ac_ext
11947 cat >>conftest.$ac_ext <<_ACEOF
8756 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
119488757 /* end confdefs.h. */
119498758 $ac_includes_default
119508759 int
119798788 return 0;
119808789 }
119818790 _ACEOF
11982 rm -f conftest$ac_exeext
11983 if { (ac_try="$ac_link"
11984 case "(($ac_try" in
11985 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11986 *) ac_try_echo=$ac_try;;
11987 esac
11988 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11989 $as_echo "$ac_try_echo") >&5
11990 (eval "$ac_link") 2>&5
11991 ac_status=$?
11992 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
11993 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
11994 { (case "(($ac_try" in
11995 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
11996 *) ac_try_echo=$ac_try;;
11997 esac
11998 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
11999 $as_echo "$ac_try_echo") >&5
12000 (eval "$ac_try") 2>&5
12001 ac_status=$?
12002 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12003 (exit $ac_status); }; }; then
8791 if ac_fn_c_try_run "$LINENO"; then :
120048792 ac_cv_func_memcmp_working=yes
120058793 else
12006 $as_echo "$as_me: program exited with status $ac_status" >&5
12007 $as_echo "$as_me: failed program was:" >&5
12008 sed 's/^/| /' conftest.$ac_ext >&5
12009
12010 ( exit $ac_status )
12011 ac_cv_func_memcmp_working=no
12012 fi
12013 rm -rf conftest.dSYM
12014 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
12015 fi
12016
12017
12018 fi
12019 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_memcmp_working" >&5
8794 ac_cv_func_memcmp_working=no
8795 fi
8796 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
8797 conftest.$ac_objext conftest.beam conftest.$ac_ext
8798 fi
8799
8800 fi
8801 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_memcmp_working" >&5
120208802 $as_echo "$ac_cv_func_memcmp_working" >&6; }
120218803 test $ac_cv_func_memcmp_working = no && case " $LIBOBJS " in
120228804 *" memcmp.$ac_objext "* ) ;;
120278809
120288810
120298811
12030 for ac_header in stdlib.h unistd.h
12031 do
12032 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
12033 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
12034 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
12035 $as_echo_n "checking for $ac_header... " >&6; }
12036 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
12037 $as_echo_n "(cached) " >&6
12038 fi
12039 ac_res=`eval 'as_val=${'$as_ac_Header'}
12040 $as_echo "$as_val"'`
12041 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
12042 $as_echo "$ac_res" >&6; }
12043 else
12044 # Is the header compilable?
12045 { $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
12046 $as_echo_n "checking $ac_header usability... " >&6; }
12047 cat >conftest.$ac_ext <<_ACEOF
12048 /* confdefs.h. */
12049 _ACEOF
12050 cat confdefs.h >>conftest.$ac_ext
12051 cat >>conftest.$ac_ext <<_ACEOF
12052 /* end confdefs.h. */
12053 $ac_includes_default
12054 #include <$ac_header>
12055 _ACEOF
12056 rm -f conftest.$ac_objext
12057 if { (ac_try="$ac_compile"
12058 case "(($ac_try" in
12059 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12060 *) ac_try_echo=$ac_try;;
12061 esac
12062 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12063 $as_echo "$ac_try_echo") >&5
12064 (eval "$ac_compile") 2>conftest.er1
12065 ac_status=$?
12066 grep -v '^ *+' conftest.er1 >conftest.err
12067 rm -f conftest.er1
12068 cat conftest.err >&5
12069 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12070 (exit $ac_status); } && {
12071 test -z "$ac_c_werror_flag" ||
12072 test ! -s conftest.err
12073 } && test -s conftest.$ac_objext; then
12074 ac_header_compiler=yes
12075 else
12076 $as_echo "$as_me: failed program was:" >&5
12077 sed 's/^/| /' conftest.$ac_ext >&5
12078
12079 ac_header_compiler=no
12080 fi
12081
12082 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
12083 { $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
12084 $as_echo "$ac_header_compiler" >&6; }
12085
12086 # Is the header present?
12087 { $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
12088 $as_echo_n "checking $ac_header presence... " >&6; }
12089 cat >conftest.$ac_ext <<_ACEOF
12090 /* confdefs.h. */
12091 _ACEOF
12092 cat confdefs.h >>conftest.$ac_ext
12093 cat >>conftest.$ac_ext <<_ACEOF
12094 /* end confdefs.h. */
12095 #include <$ac_header>
12096 _ACEOF
12097 if { (ac_try="$ac_cpp conftest.$ac_ext"
12098 case "(($ac_try" in
12099 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12100 *) ac_try_echo=$ac_try;;
12101 esac
12102 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12103 $as_echo "$ac_try_echo") >&5
12104 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
12105 ac_status=$?
12106 grep -v '^ *+' conftest.er1 >conftest.err
12107 rm -f conftest.er1
12108 cat conftest.err >&5
12109 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12110 (exit $ac_status); } >/dev/null && {
12111 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
12112 test ! -s conftest.err
12113 }; then
12114 ac_header_preproc=yes
12115 else
12116 $as_echo "$as_me: failed program was:" >&5
12117 sed 's/^/| /' conftest.$ac_ext >&5
12118
12119 ac_header_preproc=no
12120 fi
12121
12122 rm -f conftest.err conftest.$ac_ext
12123 { $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
12124 $as_echo "$ac_header_preproc" >&6; }
12125
12126 # So? What about this header?
12127 case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
12128 yes:no: )
12129 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
12130 $as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
12131 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
12132 $as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
12133 ac_header_preproc=yes
12134 ;;
12135 no:yes:* )
12136 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
12137 $as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
12138 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: check for missing prerequisite headers?" >&5
12139 $as_echo "$as_me: WARNING: $ac_header: check for missing prerequisite headers?" >&2;}
12140 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
12141 $as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
12142 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&5
12143 $as_echo "$as_me: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&2;}
12144 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
12145 $as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
12146 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
12147 $as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
12148 ( cat <<\_ASBOX
12149 ## ------------------------------------ ##
12150 ## Report this to bybell@rocketmail.com ##
12151 ## ------------------------------------ ##
12152 _ASBOX
12153 ) | sed "s/^/$as_me: WARNING: /" >&2
12154 ;;
12155 esac
12156 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
12157 $as_echo_n "checking for $ac_header... " >&6; }
12158 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
12159 $as_echo_n "(cached) " >&6
12160 else
12161 eval "$as_ac_Header=\$ac_header_preproc"
12162 fi
12163 ac_res=`eval 'as_val=${'$as_ac_Header'}
12164 $as_echo "$as_val"'`
12165 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
12166 $as_echo "$ac_res" >&6; }
12167
12168 fi
12169 as_val=`eval 'as_val=${'$as_ac_Header'}
12170 $as_echo "$as_val"'`
12171 if test "x$as_val" = x""yes; then
8812
8813 for ac_header in $ac_header_list
8814 do :
8815 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
8816 ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default
8817 "
8818 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
121728819 cat >>confdefs.h <<_ACEOF
121738820 #define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
121748821 _ACEOF
121788825 done
121798826
121808827
8828
8829
8830
8831
8832
8833
121818834 for ac_func in getpagesize
12182 do
12183 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
12184 { $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
12185 $as_echo_n "checking for $ac_func... " >&6; }
12186 if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
8835 do :
8836 ac_fn_c_check_func "$LINENO" "getpagesize" "ac_cv_func_getpagesize"
8837 if test "x$ac_cv_func_getpagesize" = xyes; then :
8838 cat >>confdefs.h <<_ACEOF
8839 #define HAVE_GETPAGESIZE 1
8840 _ACEOF
8841
8842 fi
8843 done
8844
8845 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for working mmap" >&5
8846 $as_echo_n "checking for working mmap... " >&6; }
8847 if ${ac_cv_func_mmap_fixed_mapped+:} false; then :
121878848 $as_echo_n "(cached) " >&6
121888849 else
12189 cat >conftest.$ac_ext <<_ACEOF
12190 /* confdefs.h. */
12191 _ACEOF
12192 cat confdefs.h >>conftest.$ac_ext
12193 cat >>conftest.$ac_ext <<_ACEOF
12194 /* end confdefs.h. */
12195 /* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
12196 For example, HP-UX 11i <limits.h> declares gettimeofday. */
12197 #define $ac_func innocuous_$ac_func
12198
12199 /* System header to define __stub macros and hopefully few prototypes,
12200 which can conflict with char $ac_func (); below.
12201 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
12202 <limits.h> exists even on freestanding compilers. */
12203
12204 #ifdef __STDC__
12205 # include <limits.h>
12206 #else
12207 # include <assert.h>
12208 #endif
12209
12210 #undef $ac_func
12211
12212 /* Override any GCC internal prototype to avoid an error.
12213 Use char because int might match the return type of a GCC
12214 builtin and then its argument prototype would still apply. */
12215 #ifdef __cplusplus
12216 extern "C"
12217 #endif
12218 char $ac_func ();
12219 /* The GNU C library defines this for functions which it implements
12220 to always fail with ENOSYS. Some functions are actually named
12221 something starting with __ and the normal name is an alias. */
12222 #if defined __stub_$ac_func || defined __stub___$ac_func
12223 choke me
12224 #endif
12225
12226 int
12227 main ()
12228 {
12229 return $ac_func ();
12230 ;
12231 return 0;
12232 }
12233 _ACEOF
12234 rm -f conftest.$ac_objext conftest$ac_exeext
12235 if { (ac_try="$ac_link"
12236 case "(($ac_try" in
12237 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12238 *) ac_try_echo=$ac_try;;
12239 esac
12240 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12241 $as_echo "$ac_try_echo") >&5
12242 (eval "$ac_link") 2>conftest.er1
12243 ac_status=$?
12244 grep -v '^ *+' conftest.er1 >conftest.err
12245 rm -f conftest.er1
12246 cat conftest.err >&5
12247 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12248 (exit $ac_status); } && {
12249 test -z "$ac_c_werror_flag" ||
12250 test ! -s conftest.err
12251 } && test -s conftest$ac_exeext && {
12252 test "$cross_compiling" = yes ||
12253 $as_test_x conftest$ac_exeext
12254 }; then
12255 eval "$as_ac_var=yes"
12256 else
12257 $as_echo "$as_me: failed program was:" >&5
12258 sed 's/^/| /' conftest.$ac_ext >&5
12259
12260 eval "$as_ac_var=no"
12261 fi
12262
12263 rm -rf conftest.dSYM
12264 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
12265 conftest$ac_exeext conftest.$ac_ext
12266 fi
12267 ac_res=`eval 'as_val=${'$as_ac_var'}
12268 $as_echo "$as_val"'`
12269 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
12270 $as_echo "$ac_res" >&6; }
12271 as_val=`eval 'as_val=${'$as_ac_var'}
12272 $as_echo "$as_val"'`
12273 if test "x$as_val" = x""yes; then
12274 cat >>confdefs.h <<_ACEOF
12275 #define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
12276 _ACEOF
12277
12278 fi
12279 done
12280
12281 { $as_echo "$as_me:$LINENO: checking for working mmap" >&5
12282 $as_echo_n "checking for working mmap... " >&6; }
12283 if test "${ac_cv_func_mmap_fixed_mapped+set}" = set; then
12284 $as_echo_n "(cached) " >&6
12285 else
12286 if test "$cross_compiling" = yes; then
8850 if test "$cross_compiling" = yes; then :
122878851 ac_cv_func_mmap_fixed_mapped=no
122888852 else
12289 cat >conftest.$ac_ext <<_ACEOF
12290 /* confdefs.h. */
12291 _ACEOF
12292 cat confdefs.h >>conftest.$ac_ext
12293 cat >>conftest.$ac_ext <<_ACEOF
8853 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
122948854 /* end confdefs.h. */
122958855 $ac_includes_default
122968856 /* malloc might have been renamed as rpl_malloc. */
123278887
123288888 /* This mess was copied from the GNU getpagesize.h. */
123298889 #ifndef HAVE_GETPAGESIZE
12330 /* Assume that all systems that can run configure have sys/param.h. */
12331 # ifndef HAVE_SYS_PARAM_H
12332 # define HAVE_SYS_PARAM_H 1
12333 # endif
12334
123358890 # ifdef _SC_PAGESIZE
123368891 # define getpagesize() sysconf(_SC_PAGESIZE)
123378892 # else /* no _SC_PAGESIZE */
123668921 main ()
123678922 {
123688923 char *data, *data2, *data3;
8924 const char *cdata2;
123698925 int i, pagesize;
12370 int fd;
8926 int fd, fd2;
123718927
123728928 pagesize = getpagesize ();
123738929
123808936 umask (0);
123818937 fd = creat ("conftest.mmap", 0600);
123828938 if (fd < 0)
12383 return 1;
8939 return 2;
123848940 if (write (fd, data, pagesize) != pagesize)
12385 return 1;
8941 return 3;
123868942 close (fd);
8943
8944 /* Next, check that the tail of a page is zero-filled. File must have
8945 non-zero length, otherwise we risk SIGBUS for entire page. */
8946 fd2 = open ("conftest.txt", O_RDWR | O_CREAT | O_TRUNC, 0600);
8947 if (fd2 < 0)
8948 return 4;
8949 cdata2 = "";
8950 if (write (fd2, cdata2, 1) != 1)
8951 return 5;
8952 data2 = (char *) mmap (0, pagesize, PROT_READ | PROT_WRITE, MAP_SHARED, fd2, 0L);
8953 if (data2 == MAP_FAILED)
8954 return 6;
8955 for (i = 0; i < pagesize; ++i)
8956 if (*(data2 + i))
8957 return 7;
8958 close (fd2);
8959 if (munmap (data2, pagesize))
8960 return 8;
123878961
123888962 /* Next, try to mmap the file at a fixed address which already has
123898963 something else allocated at it. If we can, also make sure that
123908964 we see the same garbage. */
123918965 fd = open ("conftest.mmap", O_RDWR);
123928966 if (fd < 0)
12393 return 1;
12394 data2 = (char *) malloc (2 * pagesize);
12395 if (!data2)
12396 return 1;
12397 data2 += (pagesize - ((long int) data2 & (pagesize - 1))) & (pagesize - 1);
8967 return 9;
123988968 if (data2 != mmap (data2, pagesize, PROT_READ | PROT_WRITE,
123998969 MAP_PRIVATE | MAP_FIXED, fd, 0L))
12400 return 1;
8970 return 10;
124018971 for (i = 0; i < pagesize; ++i)
124028972 if (*(data + i) != *(data2 + i))
12403 return 1;
8973 return 11;
124048974
124058975 /* Finally, make sure that changes to the mapped area do not
124068976 percolate back to the file as seen by read(). (This is a bug on
124098979 *(data2 + i) = *(data2 + i) + 1;
124108980 data3 = (char *) malloc (pagesize);
124118981 if (!data3)
12412 return 1;
8982 return 12;
124138983 if (read (fd, data3, pagesize) != pagesize)
12414 return 1;
8984 return 13;
124158985 for (i = 0; i < pagesize; ++i)
124168986 if (*(data + i) != *(data3 + i))
12417 return 1;
8987 return 14;
124188988 close (fd);
124198989 return 0;
124208990 }
124218991 _ACEOF
12422 rm -f conftest$ac_exeext
12423 if { (ac_try="$ac_link"
12424 case "(($ac_try" in
12425 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12426 *) ac_try_echo=$ac_try;;
12427 esac
12428 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12429 $as_echo "$ac_try_echo") >&5
12430 (eval "$ac_link") 2>&5
12431 ac_status=$?
12432 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12433 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
12434 { (case "(($ac_try" in
12435 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12436 *) ac_try_echo=$ac_try;;
12437 esac
12438 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12439 $as_echo "$ac_try_echo") >&5
12440 (eval "$ac_try") 2>&5
12441 ac_status=$?
12442 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12443 (exit $ac_status); }; }; then
8992 if ac_fn_c_try_run "$LINENO"; then :
124448993 ac_cv_func_mmap_fixed_mapped=yes
124458994 else
12446 $as_echo "$as_me: program exited with status $ac_status" >&5
12447 $as_echo "$as_me: failed program was:" >&5
12448 sed 's/^/| /' conftest.$ac_ext >&5
12449
12450 ( exit $ac_status )
12451 ac_cv_func_mmap_fixed_mapped=no
12452 fi
12453 rm -rf conftest.dSYM
12454 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
12455 fi
12456
12457
12458 fi
12459 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_mmap_fixed_mapped" >&5
8995 ac_cv_func_mmap_fixed_mapped=no
8996 fi
8997 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
8998 conftest.$ac_objext conftest.beam conftest.$ac_ext
8999 fi
9000
9001 fi
9002 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_mmap_fixed_mapped" >&5
124609003 $as_echo "$ac_cv_func_mmap_fixed_mapped" >&6; }
124619004 if test $ac_cv_func_mmap_fixed_mapped = yes; then
124629005
12463 cat >>confdefs.h <<\_ACEOF
12464 #define HAVE_MMAP 1
12465 _ACEOF
12466
12467 fi
12468 rm -f conftest.mmap
12469
12470
9006 $as_echo "#define HAVE_MMAP 1" >>confdefs.h
9007
9008 fi
9009 rm -f conftest.mmap conftest.txt
124719010
124729011 for ac_header in sys/select.h sys/socket.h
12473 do
12474 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
12475 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
12476 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
12477 $as_echo_n "checking for $ac_header... " >&6; }
12478 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
12479 $as_echo_n "(cached) " >&6
12480 fi
12481 ac_res=`eval 'as_val=${'$as_ac_Header'}
12482 $as_echo "$as_val"'`
12483 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
12484 $as_echo "$ac_res" >&6; }
12485 else
12486 # Is the header compilable?
12487 { $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
12488 $as_echo_n "checking $ac_header usability... " >&6; }
12489 cat >conftest.$ac_ext <<_ACEOF
12490 /* confdefs.h. */
12491 _ACEOF
12492 cat confdefs.h >>conftest.$ac_ext
12493 cat >>conftest.$ac_ext <<_ACEOF
12494 /* end confdefs.h. */
12495 $ac_includes_default
12496 #include <$ac_header>
12497 _ACEOF
12498 rm -f conftest.$ac_objext
12499 if { (ac_try="$ac_compile"
12500 case "(($ac_try" in
12501 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12502 *) ac_try_echo=$ac_try;;
12503 esac
12504 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12505 $as_echo "$ac_try_echo") >&5
12506 (eval "$ac_compile") 2>conftest.er1
12507 ac_status=$?
12508 grep -v '^ *+' conftest.er1 >conftest.err
12509 rm -f conftest.er1
12510 cat conftest.err >&5
12511 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12512 (exit $ac_status); } && {
12513 test -z "$ac_c_werror_flag" ||
12514 test ! -s conftest.err
12515 } && test -s conftest.$ac_objext; then
12516 ac_header_compiler=yes
12517 else
12518 $as_echo "$as_me: failed program was:" >&5
12519 sed 's/^/| /' conftest.$ac_ext >&5
12520
12521 ac_header_compiler=no
12522 fi
12523
12524 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
12525 { $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
12526 $as_echo "$ac_header_compiler" >&6; }
12527
12528 # Is the header present?
12529 { $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
12530 $as_echo_n "checking $ac_header presence... " >&6; }
12531 cat >conftest.$ac_ext <<_ACEOF
12532 /* confdefs.h. */
12533 _ACEOF
12534 cat confdefs.h >>conftest.$ac_ext
12535 cat >>conftest.$ac_ext <<_ACEOF
12536 /* end confdefs.h. */
12537 #include <$ac_header>
12538 _ACEOF
12539 if { (ac_try="$ac_cpp conftest.$ac_ext"
12540 case "(($ac_try" in
12541 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12542 *) ac_try_echo=$ac_try;;
12543 esac
12544 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12545 $as_echo "$ac_try_echo") >&5
12546 (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
12547 ac_status=$?
12548 grep -v '^ *+' conftest.er1 >conftest.err
12549 rm -f conftest.er1
12550 cat conftest.err >&5
12551 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12552 (exit $ac_status); } >/dev/null && {
12553 test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
12554 test ! -s conftest.err
12555 }; then
12556 ac_header_preproc=yes
12557 else
12558 $as_echo "$as_me: failed program was:" >&5
12559 sed 's/^/| /' conftest.$ac_ext >&5
12560
12561 ac_header_preproc=no
12562 fi
12563
12564 rm -f conftest.err conftest.$ac_ext
12565 { $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
12566 $as_echo "$ac_header_preproc" >&6; }
12567
12568 # So? What about this header?
12569 case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
12570 yes:no: )
12571 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
12572 $as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
12573 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
12574 $as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
12575 ac_header_preproc=yes
12576 ;;
12577 no:yes:* )
12578 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
12579 $as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
12580 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: check for missing prerequisite headers?" >&5
12581 $as_echo "$as_me: WARNING: $ac_header: check for missing prerequisite headers?" >&2;}
12582 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
12583 $as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
12584 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&5
12585 $as_echo "$as_me: WARNING: $ac_header: section \"Present But Cannot Be Compiled\"" >&2;}
12586 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
12587 $as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
12588 { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
12589 $as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
12590 ( cat <<\_ASBOX
12591 ## ------------------------------------ ##
12592 ## Report this to bybell@rocketmail.com ##
12593 ## ------------------------------------ ##
12594 _ASBOX
12595 ) | sed "s/^/$as_me: WARNING: /" >&2
12596 ;;
12597 esac
12598 { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
12599 $as_echo_n "checking for $ac_header... " >&6; }
12600 if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
12601 $as_echo_n "(cached) " >&6
12602 else
12603 eval "$as_ac_Header=\$ac_header_preproc"
12604 fi
12605 ac_res=`eval 'as_val=${'$as_ac_Header'}
12606 $as_echo "$as_val"'`
12607 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
12608 $as_echo "$ac_res" >&6; }
12609
12610 fi
12611 as_val=`eval 'as_val=${'$as_ac_Header'}
12612 $as_echo "$as_val"'`
12613 if test "x$as_val" = x""yes; then
9012 do :
9013 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
9014 ac_fn_c_check_header_mongrel "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default"
9015 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
126149016 cat >>confdefs.h <<_ACEOF
126159017 #define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
126169018 _ACEOF
126199021
126209022 done
126219023
12622 { $as_echo "$as_me:$LINENO: checking types of arguments for select" >&5
9024 { $as_echo "$as_me:${as_lineno-$LINENO}: checking types of arguments for select" >&5
126239025 $as_echo_n "checking types of arguments for select... " >&6; }
12624 if test "${ac_cv_func_select_args+set}" = set; then
9026 if ${ac_cv_func_select_args+:} false; then :
126259027 $as_echo_n "(cached) " >&6
126269028 else
126279029 for ac_arg234 in 'fd_set *' 'int *' 'void *'; do
126289030 for ac_arg1 in 'int' 'size_t' 'unsigned long int' 'unsigned int'; do
126299031 for ac_arg5 in 'struct timeval *' 'const struct timeval *'; do
12630 cat >conftest.$ac_ext <<_ACEOF
12631 /* confdefs.h. */
12632 _ACEOF
12633 cat confdefs.h >>conftest.$ac_ext
12634 cat >>conftest.$ac_ext <<_ACEOF
9032 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
126359033 /* end confdefs.h. */
126369034 $ac_includes_default
126379035 #ifdef HAVE_SYS_SELECT_H
126519049 return 0;
126529050 }
126539051 _ACEOF
12654 rm -f conftest.$ac_objext
12655 if { (ac_try="$ac_compile"
12656 case "(($ac_try" in
12657 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12658 *) ac_try_echo=$ac_try;;
12659 esac
12660 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12661 $as_echo "$ac_try_echo") >&5
12662 (eval "$ac_compile") 2>conftest.er1
12663 ac_status=$?
12664 grep -v '^ *+' conftest.er1 >conftest.err
12665 rm -f conftest.er1
12666 cat conftest.err >&5
12667 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12668 (exit $ac_status); } && {
12669 test -z "$ac_c_werror_flag" ||
12670 test ! -s conftest.err
12671 } && test -s conftest.$ac_objext; then
9052 if ac_fn_c_try_compile "$LINENO"; then :
126729053 ac_cv_func_select_args="$ac_arg1,$ac_arg234,$ac_arg5"; break 3
12673 else
12674 $as_echo "$as_me: failed program was:" >&5
12675 sed 's/^/| /' conftest.$ac_ext >&5
12676
12677
12678 fi
12679
9054 fi
126809055 rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
126819056 done
126829057 done
126839058 done
126849059 # Provide a safe default value.
12685 : ${ac_cv_func_select_args='int,int *,struct timeval *'}
12686
12687 fi
12688 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_select_args" >&5
9060 : "${ac_cv_func_select_args=int,int *,struct timeval *}"
9061
9062 fi
9063 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_select_args" >&5
126899064 $as_echo "$ac_cv_func_select_args" >&6; }
126909065 ac_save_IFS=$IFS; IFS=','
126919066 set dummy `echo "$ac_cv_func_select_args" | sed 's/\*/\*/g'`
127089083
127099084 rm -f conftest*
127109085
12711 if test "${ac_cv_func_setvbuf_reversed+set}" = set; then
9086 if ${ac_cv_func_setvbuf_reversed+:} false; then :
127129087 $as_echo_n "(cached) " >&6
127139088 else
127149089 ac_cv_func_setvbuf_reversed=no
127159090 fi
127169091
127179092
12718 { $as_echo "$as_me:$LINENO: checking whether lstat dereferences a symlink specified with a trailing slash" >&5
12719 $as_echo_n "checking whether lstat dereferences a symlink specified with a trailing slash... " >&6; }
12720 if test "${ac_cv_func_lstat_dereferences_slashed_symlink+set}" = set; then
9093 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether lstat correctly handles trailing slash" >&5
9094 $as_echo_n "checking whether lstat correctly handles trailing slash... " >&6; }
9095 if ${ac_cv_func_lstat_dereferences_slashed_symlink+:} false; then :
127219096 $as_echo_n "(cached) " >&6
127229097 else
127239098 rm -f conftest.sym conftest.file
127249099 echo >conftest.file
127259100 if test "$as_ln_s" = "ln -s" && ln -s conftest.file conftest.sym; then
12726 if test "$cross_compiling" = yes; then
9101 if test "$cross_compiling" = yes; then :
127279102 ac_cv_func_lstat_dereferences_slashed_symlink=no
127289103 else
12729 cat >conftest.$ac_ext <<_ACEOF
12730 /* confdefs.h. */
12731 _ACEOF
12732 cat confdefs.h >>conftest.$ac_ext
12733 cat >>conftest.$ac_ext <<_ACEOF
9104 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
127349105 /* end confdefs.h. */
127359106 $ac_includes_default
127369107 int
127379108 main ()
127389109 {
127399110 struct stat sbuf;
12740 /* Linux will dereference the symlink and fail.
9111 /* Linux will dereference the symlink and fail, as required by POSIX.
127419112 That is better in the sense that it means we will not
127429113 have to compile and use the lstat wrapper. */
127439114 return lstat ("conftest.sym/", &sbuf) == 0;
127459116 return 0;
127469117 }
127479118 _ACEOF
12748 rm -f conftest$ac_exeext
12749 if { (ac_try="$ac_link"
12750 case "(($ac_try" in
12751 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12752 *) ac_try_echo=$ac_try;;
12753 esac
12754 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12755 $as_echo "$ac_try_echo") >&5
12756 (eval "$ac_link") 2>&5
12757 ac_status=$?
12758 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12759 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
12760 { (case "(($ac_try" in
12761 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12762 *) ac_try_echo=$ac_try;;
12763 esac
12764 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12765 $as_echo "$ac_try_echo") >&5
12766 (eval "$ac_try") 2>&5
12767 ac_status=$?
12768 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12769 (exit $ac_status); }; }; then
9119 if ac_fn_c_try_run "$LINENO"; then :
127709120 ac_cv_func_lstat_dereferences_slashed_symlink=yes
127719121 else
12772 $as_echo "$as_me: program exited with status $ac_status" >&5
12773 $as_echo "$as_me: failed program was:" >&5
12774 sed 's/^/| /' conftest.$ac_ext >&5
12775
12776 ( exit $ac_status )
12777 ac_cv_func_lstat_dereferences_slashed_symlink=no
12778 fi
12779 rm -rf conftest.dSYM
12780 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
12781 fi
12782
9122 ac_cv_func_lstat_dereferences_slashed_symlink=no
9123 fi
9124 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
9125 conftest.$ac_objext conftest.beam conftest.$ac_ext
9126 fi
127839127
127849128 else
127859129 # If the `ln -s' command failed, then we probably don't even
127899133 rm -f conftest.sym conftest.file
127909134
127919135 fi
12792 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_lstat_dereferences_slashed_symlink" >&5
9136 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_lstat_dereferences_slashed_symlink" >&5
127939137 $as_echo "$ac_cv_func_lstat_dereferences_slashed_symlink" >&6; }
127949138
127959139 test $ac_cv_func_lstat_dereferences_slashed_symlink = yes &&
127999143 _ACEOF
128009144
128019145
12802 if test $ac_cv_func_lstat_dereferences_slashed_symlink = no; then
9146 if test "x$ac_cv_func_lstat_dereferences_slashed_symlink" = xno; then
128039147 case " $LIBOBJS " in
128049148 *" lstat.$ac_objext "* ) ;;
128059149 *) LIBOBJS="$LIBOBJS lstat.$ac_objext"
128089152
128099153 fi
128109154
12811 { $as_echo "$as_me:$LINENO: checking whether stat accepts an empty string" >&5
9155 { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether stat accepts an empty string" >&5
128129156 $as_echo_n "checking whether stat accepts an empty string... " >&6; }
12813 if test "${ac_cv_func_stat_empty_string_bug+set}" = set; then
9157 if ${ac_cv_func_stat_empty_string_bug+:} false; then :
128149158 $as_echo_n "(cached) " >&6
128159159 else
12816 if test "$cross_compiling" = yes; then
9160 if test "$cross_compiling" = yes; then :
128179161 ac_cv_func_stat_empty_string_bug=yes
128189162 else
12819 cat >conftest.$ac_ext <<_ACEOF
12820 /* confdefs.h. */
12821 _ACEOF
12822 cat confdefs.h >>conftest.$ac_ext
12823 cat >>conftest.$ac_ext <<_ACEOF
9163 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
128249164 /* end confdefs.h. */
128259165 $ac_includes_default
128269166 int
128329172 return 0;
128339173 }
128349174 _ACEOF
12835 rm -f conftest$ac_exeext
12836 if { (ac_try="$ac_link"
12837 case "(($ac_try" in
12838 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12839 *) ac_try_echo=$ac_try;;
12840 esac
12841 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12842 $as_echo "$ac_try_echo") >&5
12843 (eval "$ac_link") 2>&5
12844 ac_status=$?
12845 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12846 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
12847 { (case "(($ac_try" in
12848 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12849 *) ac_try_echo=$ac_try;;
12850 esac
12851 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12852 $as_echo "$ac_try_echo") >&5
12853 (eval "$ac_try") 2>&5
12854 ac_status=$?
12855 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12856 (exit $ac_status); }; }; then
9175 if ac_fn_c_try_run "$LINENO"; then :
128579176 ac_cv_func_stat_empty_string_bug=no
128589177 else
12859 $as_echo "$as_me: program exited with status $ac_status" >&5
12860 $as_echo "$as_me: failed program was:" >&5
12861 sed 's/^/| /' conftest.$ac_ext >&5
12862
12863 ( exit $ac_status )
12864 ac_cv_func_stat_empty_string_bug=yes
12865 fi
12866 rm -rf conftest.dSYM
12867 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
12868 fi
12869
12870
12871 fi
12872 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_stat_empty_string_bug" >&5
9178 ac_cv_func_stat_empty_string_bug=yes
9179 fi
9180 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
9181 conftest.$ac_objext conftest.beam conftest.$ac_ext
9182 fi
9183
9184 fi
9185 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_stat_empty_string_bug" >&5
128739186 $as_echo "$ac_cv_func_stat_empty_string_bug" >&6; }
128749187 if test $ac_cv_func_stat_empty_string_bug = yes; then
128759188 case " $LIBOBJS " in
128859198
128869199 fi
128879200
12888 { $as_echo "$as_me:$LINENO: checking for working strtod" >&5
9201 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for working strtod" >&5
128899202 $as_echo_n "checking for working strtod... " >&6; }
12890 if test "${ac_cv_func_strtod+set}" = set; then
9203 if ${ac_cv_func_strtod+:} false; then :
128919204 $as_echo_n "(cached) " >&6
128929205 else
12893 if test "$cross_compiling" = yes; then
9206 if test "$cross_compiling" = yes; then :
128949207 ac_cv_func_strtod=no
128959208 else
12896 cat >conftest.$ac_ext <<_ACEOF
12897 /* confdefs.h. */
12898 _ACEOF
12899 cat confdefs.h >>conftest.$ac_ext
12900 cat >>conftest.$ac_ext <<_ACEOF
9209 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
129019210 /* end confdefs.h. */
129029211
129039212 $ac_includes_default
129309239 }
129319240
129329241 _ACEOF
12933 rm -f conftest$ac_exeext
12934 if { (ac_try="$ac_link"
12935 case "(($ac_try" in
12936 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12937 *) ac_try_echo=$ac_try;;
12938 esac
12939 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12940 $as_echo "$ac_try_echo") >&5
12941 (eval "$ac_link") 2>&5
12942 ac_status=$?
12943 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12944 (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
12945 { (case "(($ac_try" in
12946 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
12947 *) ac_try_echo=$ac_try;;
12948 esac
12949 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
12950 $as_echo "$ac_try_echo") >&5
12951 (eval "$ac_try") 2>&5
12952 ac_status=$?
12953 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
12954 (exit $ac_status); }; }; then
9242 if ac_fn_c_try_run "$LINENO"; then :
129559243 ac_cv_func_strtod=yes
129569244 else
12957 $as_echo "$as_me: program exited with status $ac_status" >&5
12958 $as_echo "$as_me: failed program was:" >&5
12959 sed 's/^/| /' conftest.$ac_ext >&5
12960
12961 ( exit $ac_status )
12962 ac_cv_func_strtod=no
12963 fi
12964 rm -rf conftest.dSYM
12965 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
12966 fi
12967
12968
12969 fi
12970 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_strtod" >&5
9245 ac_cv_func_strtod=no
9246 fi
9247 rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
9248 conftest.$ac_objext conftest.beam conftest.$ac_ext
9249 fi
9250
9251 fi
9252 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_func_strtod" >&5
129719253 $as_echo "$ac_cv_func_strtod" >&6; }
129729254 if test $ac_cv_func_strtod = no; then
129739255 case " $LIBOBJS " in
129769258 ;;
129779259 esac
129789260
12979 { $as_echo "$as_me:$LINENO: checking for pow" >&5
12980 $as_echo_n "checking for pow... " >&6; }
12981 if test "${ac_cv_func_pow+set}" = set; then
12982 $as_echo_n "(cached) " >&6
12983 else
12984 cat >conftest.$ac_ext <<_ACEOF
12985 /* confdefs.h. */
12986 _ACEOF
12987 cat confdefs.h >>conftest.$ac_ext
12988 cat >>conftest.$ac_ext <<_ACEOF
12989 /* end confdefs.h. */
12990 /* Define pow to an innocuous variant, in case <limits.h> declares pow.
12991 For example, HP-UX 11i <limits.h> declares gettimeofday. */
12992 #define pow innocuous_pow
12993
12994 /* System header to define __stub macros and hopefully few prototypes,
12995 which can conflict with char pow (); below.
12996 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
12997 <limits.h> exists even on freestanding compilers. */
12998
12999 #ifdef __STDC__
13000 # include <limits.h>
13001 #else
13002 # include <assert.h>
13003 #endif
13004
13005 #undef pow
13006
13007 /* Override any GCC internal prototype to avoid an error.
13008 Use char because int might match the return type of a GCC
13009 builtin and then its argument prototype would still apply. */
13010 #ifdef __cplusplus
13011 extern "C"
13012 #endif
13013 char pow ();
13014 /* The GNU C library defines this for functions which it implements
13015 to always fail with ENOSYS. Some functions are actually named
13016 something starting with __ and the normal name is an alias. */
13017 #if defined __stub_pow || defined __stub___pow
13018 choke me
13019 #endif
13020
13021 int
13022 main ()
13023 {
13024 return pow ();
13025 ;
13026 return 0;
13027 }
13028 _ACEOF
13029 rm -f conftest.$ac_objext conftest$ac_exeext
13030 if { (ac_try="$ac_link"
13031 case "(($ac_try" in
13032 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13033 *) ac_try_echo=$ac_try;;
13034 esac
13035 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13036 $as_echo "$ac_try_echo") >&5
13037 (eval "$ac_link") 2>conftest.er1
13038 ac_status=$?
13039 grep -v '^ *+' conftest.er1 >conftest.err
13040 rm -f conftest.er1
13041 cat conftest.err >&5
13042 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13043 (exit $ac_status); } && {
13044 test -z "$ac_c_werror_flag" ||
13045 test ! -s conftest.err
13046 } && test -s conftest$ac_exeext && {
13047 test "$cross_compiling" = yes ||
13048 $as_test_x conftest$ac_exeext
13049 }; then
13050 ac_cv_func_pow=yes
13051 else
13052 $as_echo "$as_me: failed program was:" >&5
13053 sed 's/^/| /' conftest.$ac_ext >&5
13054
13055 ac_cv_func_pow=no
13056 fi
13057
13058 rm -rf conftest.dSYM
13059 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13060 conftest$ac_exeext conftest.$ac_ext
13061 fi
13062 { $as_echo "$as_me:$LINENO: result: $ac_cv_func_pow" >&5
13063 $as_echo "$ac_cv_func_pow" >&6; }
9261 ac_fn_c_check_func "$LINENO" "pow" "ac_cv_func_pow"
9262 if test "x$ac_cv_func_pow" = xyes; then :
9263
9264 fi
130649265
130659266 if test $ac_cv_func_pow = no; then
13066 { $as_echo "$as_me:$LINENO: checking for pow in -lm" >&5
9267 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for pow in -lm" >&5
130679268 $as_echo_n "checking for pow in -lm... " >&6; }
13068 if test "${ac_cv_lib_m_pow+set}" = set; then
9269 if ${ac_cv_lib_m_pow+:} false; then :
130699270 $as_echo_n "(cached) " >&6
130709271 else
130719272 ac_check_lib_save_LIBS=$LIBS
130729273 LIBS="-lm $LIBS"
13073 cat >conftest.$ac_ext <<_ACEOF
13074 /* confdefs.h. */
13075 _ACEOF
13076 cat confdefs.h >>conftest.$ac_ext
13077 cat >>conftest.$ac_ext <<_ACEOF
9274 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
130789275 /* end confdefs.h. */
130799276
130809277 /* Override any GCC internal prototype to avoid an error.
130929289 return 0;
130939290 }
130949291 _ACEOF
13095 rm -f conftest.$ac_objext conftest$ac_exeext
13096 if { (ac_try="$ac_link"
13097 case "(($ac_try" in
13098 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13099 *) ac_try_echo=$ac_try;;
13100 esac
13101 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13102 $as_echo "$ac_try_echo") >&5
13103 (eval "$ac_link") 2>conftest.er1
13104 ac_status=$?
13105 grep -v '^ *+' conftest.er1 >conftest.err
13106 rm -f conftest.er1
13107 cat conftest.err >&5
13108 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13109 (exit $ac_status); } && {
13110 test -z "$ac_c_werror_flag" ||
13111 test ! -s conftest.err
13112 } && test -s conftest$ac_exeext && {
13113 test "$cross_compiling" = yes ||
13114 $as_test_x conftest$ac_exeext
13115 }; then
9292 if ac_fn_c_try_link "$LINENO"; then :
131169293 ac_cv_lib_m_pow=yes
131179294 else
13118 $as_echo "$as_me: failed program was:" >&5
13119 sed 's/^/| /' conftest.$ac_ext >&5
13120
13121 ac_cv_lib_m_pow=no
13122 fi
13123
13124 rm -rf conftest.dSYM
13125 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13126 conftest$ac_exeext conftest.$ac_ext
9295 ac_cv_lib_m_pow=no
9296 fi
9297 rm -f core conftest.err conftest.$ac_objext \
9298 conftest$ac_exeext conftest.$ac_ext
131279299 LIBS=$ac_check_lib_save_LIBS
131289300 fi
13129 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_pow" >&5
9301 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_m_pow" >&5
131309302 $as_echo "$ac_cv_lib_m_pow" >&6; }
13131 if test "x$ac_cv_lib_m_pow" = x""yes; then
9303 if test "x$ac_cv_lib_m_pow" = xyes; then :
131329304 POW_LIB=-lm
131339305 else
13134 { $as_echo "$as_me:$LINENO: WARNING: cannot find library containing definition of pow" >&5
9306 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cannot find library containing definition of pow" >&5
131359307 $as_echo "$as_me: WARNING: cannot find library containing definition of pow" >&2;}
131369308 fi
131379309
131399311
131409312 fi
131419313
13142
131439314 for ac_func in vprintf
13144 do
13145 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
13146 { $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
13147 $as_echo_n "checking for $ac_func... " >&6; }
13148 if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
13149 $as_echo_n "(cached) " >&6
13150 else
13151 cat >conftest.$ac_ext <<_ACEOF
13152 /* confdefs.h. */
13153 _ACEOF
13154 cat confdefs.h >>conftest.$ac_ext
13155 cat >>conftest.$ac_ext <<_ACEOF
13156 /* end confdefs.h. */
13157 /* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
13158 For example, HP-UX 11i <limits.h> declares gettimeofday. */
13159 #define $ac_func innocuous_$ac_func
13160
13161 /* System header to define __stub macros and hopefully few prototypes,
13162 which can conflict with char $ac_func (); below.
13163 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
13164 <limits.h> exists even on freestanding compilers. */
13165
13166 #ifdef __STDC__
13167 # include <limits.h>
13168 #else
13169 # include <assert.h>
13170 #endif
13171
13172 #undef $ac_func
13173
13174 /* Override any GCC internal prototype to avoid an error.
13175 Use char because int might match the return type of a GCC
13176 builtin and then its argument prototype would still apply. */
13177 #ifdef __cplusplus
13178 extern "C"
13179 #endif
13180 char $ac_func ();
13181 /* The GNU C library defines this for functions which it implements
13182 to always fail with ENOSYS. Some functions are actually named
13183 something starting with __ and the normal name is an alias. */
13184 #if defined __stub_$ac_func || defined __stub___$ac_func
13185 choke me
13186 #endif
13187
13188 int
13189 main ()
13190 {
13191 return $ac_func ();
13192 ;
13193 return 0;
13194 }
13195 _ACEOF
13196 rm -f conftest.$ac_objext conftest$ac_exeext
13197 if { (ac_try="$ac_link"
13198 case "(($ac_try" in
13199 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13200 *) ac_try_echo=$ac_try;;
13201 esac
13202 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13203 $as_echo "$ac_try_echo") >&5
13204 (eval "$ac_link") 2>conftest.er1
13205 ac_status=$?
13206 grep -v '^ *+' conftest.er1 >conftest.err
13207 rm -f conftest.er1
13208 cat conftest.err >&5
13209 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13210 (exit $ac_status); } && {
13211 test -z "$ac_c_werror_flag" ||
13212 test ! -s conftest.err
13213 } && test -s conftest$ac_exeext && {
13214 test "$cross_compiling" = yes ||
13215 $as_test_x conftest$ac_exeext
13216 }; then
13217 eval "$as_ac_var=yes"
13218 else
13219 $as_echo "$as_me: failed program was:" >&5
13220 sed 's/^/| /' conftest.$ac_ext >&5
13221
13222 eval "$as_ac_var=no"
13223 fi
13224
13225 rm -rf conftest.dSYM
13226 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13227 conftest$ac_exeext conftest.$ac_ext
13228 fi
13229 ac_res=`eval 'as_val=${'$as_ac_var'}
13230 $as_echo "$as_val"'`
13231 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
13232 $as_echo "$ac_res" >&6; }
13233 as_val=`eval 'as_val=${'$as_ac_var'}
13234 $as_echo "$as_val"'`
13235 if test "x$as_val" = x""yes; then
9315 do :
9316 ac_fn_c_check_func "$LINENO" "vprintf" "ac_cv_func_vprintf"
9317 if test "x$ac_cv_func_vprintf" = xyes; then :
9318 cat >>confdefs.h <<_ACEOF
9319 #define HAVE_VPRINTF 1
9320 _ACEOF
9321
9322 ac_fn_c_check_func "$LINENO" "_doprnt" "ac_cv_func__doprnt"
9323 if test "x$ac_cv_func__doprnt" = xyes; then :
9324
9325 $as_echo "#define HAVE_DOPRNT 1" >>confdefs.h
9326
9327 fi
9328
9329 fi
9330 done
9331
9332
9333 for ac_func in atexit btowc bzero dup2 memmove memset munmap pow putenv re_comp realpath regcomp select setenv strcasecmp strchr strdup strerror strncasecmp strrchr strstr getopt_long setenv unsetenv
9334 do :
9335 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
9336 ac_fn_c_check_func "$LINENO" "$ac_func" "$as_ac_var"
9337 if eval test \"x\$"$as_ac_var"\" = x"yes"; then :
132369338 cat >>confdefs.h <<_ACEOF
132379339 #define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
132389340 _ACEOF
132399341
13240 { $as_echo "$as_me:$LINENO: checking for _doprnt" >&5
13241 $as_echo_n "checking for _doprnt... " >&6; }
13242 if test "${ac_cv_func__doprnt+set}" = set; then
13243 $as_echo_n "(cached) " >&6
13244 else
13245 cat >conftest.$ac_ext <<_ACEOF
13246 /* confdefs.h. */
13247 _ACEOF
13248 cat confdefs.h >>conftest.$ac_ext
13249 cat >>conftest.$ac_ext <<_ACEOF
13250 /* end confdefs.h. */
13251 /* Define _doprnt to an innocuous variant, in case <limits.h> declares _doprnt.
13252 For example, HP-UX 11i <limits.h> declares gettimeofday. */
13253 #define _doprnt innocuous__doprnt
13254
13255 /* System header to define __stub macros and hopefully few prototypes,
13256 which can conflict with char _doprnt (); below.
13257 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
13258 <limits.h> exists even on freestanding compilers. */
13259
13260 #ifdef __STDC__
13261 # include <limits.h>
13262 #else
13263 # include <assert.h>
13264 #endif
13265
13266 #undef _doprnt
13267
13268 /* Override any GCC internal prototype to avoid an error.
13269 Use char because int might match the return type of a GCC
13270 builtin and then its argument prototype would still apply. */
13271 #ifdef __cplusplus
13272 extern "C"
13273 #endif
13274 char _doprnt ();
13275 /* The GNU C library defines this for functions which it implements
13276 to always fail with ENOSYS. Some functions are actually named
13277 something starting with __ and the normal name is an alias. */
13278 #if defined __stub__doprnt || defined __stub____doprnt
13279 choke me
13280 #endif
13281
13282 int
13283 main ()
13284 {
13285 return _doprnt ();
13286 ;
13287 return 0;
13288 }
13289 _ACEOF
13290 rm -f conftest.$ac_objext conftest$ac_exeext
13291 if { (ac_try="$ac_link"
13292 case "(($ac_try" in
13293 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13294 *) ac_try_echo=$ac_try;;
13295 esac
13296 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13297 $as_echo "$ac_try_echo") >&5
13298 (eval "$ac_link") 2>conftest.er1
13299 ac_status=$?
13300 grep -v '^ *+' conftest.er1 >conftest.err
13301 rm -f conftest.er1
13302 cat conftest.err >&5
13303 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13304 (exit $ac_status); } && {
13305 test -z "$ac_c_werror_flag" ||
13306 test ! -s conftest.err
13307 } && test -s conftest$ac_exeext && {
13308 test "$cross_compiling" = yes ||
13309 $as_test_x conftest$ac_exeext
13310 }; then
13311 ac_cv_func__doprnt=yes
13312 else
13313 $as_echo "$as_me: failed program was:" >&5
13314 sed 's/^/| /' conftest.$ac_ext >&5
13315
13316 ac_cv_func__doprnt=no
13317 fi
13318
13319 rm -rf conftest.dSYM
13320 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13321 conftest$ac_exeext conftest.$ac_ext
13322 fi
13323 { $as_echo "$as_me:$LINENO: result: $ac_cv_func__doprnt" >&5
13324 $as_echo "$ac_cv_func__doprnt" >&6; }
13325 if test "x$ac_cv_func__doprnt" = x""yes; then
13326
13327 cat >>confdefs.h <<\_ACEOF
13328 #define HAVE_DOPRNT 1
13329 _ACEOF
13330
13331 fi
13332
133339342 fi
133349343 done
133359344
13336
13337
13338
13339
13340
13341
13342
13343
13344
13345
13346
13347
13348
13349
13350
13351
13352
13353
13354
13355
13356
13357
13358
13359
13360
13361 for ac_func in atexit btowc bzero dup2 memmove memset munmap pow putenv re_comp realpath regcomp select setenv strcasecmp strchr strdup strerror strncasecmp strrchr strstr getopt_long setenv unsetenv
13362 do
13363 as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
13364 { $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
13365 $as_echo_n "checking for $ac_func... " >&6; }
13366 if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
13367 $as_echo_n "(cached) " >&6
13368 else
13369 cat >conftest.$ac_ext <<_ACEOF
13370 /* confdefs.h. */
13371 _ACEOF
13372 cat confdefs.h >>conftest.$ac_ext
13373 cat >>conftest.$ac_ext <<_ACEOF
13374 /* end confdefs.h. */
13375 /* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
13376 For example, HP-UX 11i <limits.h> declares gettimeofday. */
13377 #define $ac_func innocuous_$ac_func
13378
13379 /* System header to define __stub macros and hopefully few prototypes,
13380 which can conflict with char $ac_func (); below.
13381 Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
13382 <limits.h> exists even on freestanding compilers. */
13383
13384 #ifdef __STDC__
13385 # include <limits.h>
13386 #else
13387 # include <assert.h>
13388 #endif
13389
13390 #undef $ac_func
13391
13392 /* Override any GCC internal prototype to avoid an error.
13393 Use char because int might match the return type of a GCC
13394 builtin and then its argument prototype would still apply. */
13395 #ifdef __cplusplus
13396 extern "C"
13397 #endif
13398 char $ac_func ();
13399 /* The GNU C library defines this for functions which it implements
13400 to always fail with ENOSYS. Some functions are actually named
13401 something starting with __ and the normal name is an alias. */
13402 #if defined __stub_$ac_func || defined __stub___$ac_func
13403 choke me
13404 #endif
13405
13406 int
13407 main ()
13408 {
13409 return $ac_func ();
13410 ;
13411 return 0;
13412 }
13413 _ACEOF
13414 rm -f conftest.$ac_objext conftest$ac_exeext
13415 if { (ac_try="$ac_link"
13416 case "(($ac_try" in
13417 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13418 *) ac_try_echo=$ac_try;;
13419 esac
13420 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13421 $as_echo "$ac_try_echo") >&5
13422 (eval "$ac_link") 2>conftest.er1
13423 ac_status=$?
13424 grep -v '^ *+' conftest.er1 >conftest.err
13425 rm -f conftest.er1
13426 cat conftest.err >&5
13427 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13428 (exit $ac_status); } && {
13429 test -z "$ac_c_werror_flag" ||
13430 test ! -s conftest.err
13431 } && test -s conftest$ac_exeext && {
13432 test "$cross_compiling" = yes ||
13433 $as_test_x conftest$ac_exeext
13434 }; then
13435 eval "$as_ac_var=yes"
13436 else
13437 $as_echo "$as_me: failed program was:" >&5
13438 sed 's/^/| /' conftest.$ac_ext >&5
13439
13440 eval "$as_ac_var=no"
13441 fi
13442
13443 rm -rf conftest.dSYM
13444 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13445 conftest$ac_exeext conftest.$ac_ext
13446 fi
13447 ac_res=`eval 'as_val=${'$as_ac_var'}
13448 $as_echo "$as_val"'`
13449 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
13450 $as_echo "$ac_res" >&6; }
13451 as_val=`eval 'as_val=${'$as_ac_var'}
13452 $as_echo "$as_val"'`
13453 if test "x$as_val" = x""yes; then
13454 cat >>confdefs.h <<_ACEOF
13455 #define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
13456 _ACEOF
13457
13458 fi
13459 done
13460
13461
13462 { $as_echo "$as_me:$LINENO: checking for xdrmem_create in -lnsl" >&5
9345 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for xdrmem_create in -lnsl" >&5
134639346 $as_echo_n "checking for xdrmem_create in -lnsl... " >&6; }
13464 if test "${ac_cv_lib_nsl_xdrmem_create+set}" = set; then
9347 if ${ac_cv_lib_nsl_xdrmem_create+:} false; then :
134659348 $as_echo_n "(cached) " >&6
134669349 else
134679350 ac_check_lib_save_LIBS=$LIBS
134689351 LIBS="-lnsl $LIBS"
13469 cat >conftest.$ac_ext <<_ACEOF
13470 /* confdefs.h. */
13471 _ACEOF
13472 cat confdefs.h >>conftest.$ac_ext
13473 cat >>conftest.$ac_ext <<_ACEOF
9352 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
134749353 /* end confdefs.h. */
134759354
134769355 /* Override any GCC internal prototype to avoid an error.
134889367 return 0;
134899368 }
134909369 _ACEOF
13491 rm -f conftest.$ac_objext conftest$ac_exeext
13492 if { (ac_try="$ac_link"
13493 case "(($ac_try" in
13494 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13495 *) ac_try_echo=$ac_try;;
13496 esac
13497 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13498 $as_echo "$ac_try_echo") >&5
13499 (eval "$ac_link") 2>conftest.er1
13500 ac_status=$?
13501 grep -v '^ *+' conftest.er1 >conftest.err
13502 rm -f conftest.er1
13503 cat conftest.err >&5
13504 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13505 (exit $ac_status); } && {
13506 test -z "$ac_c_werror_flag" ||
13507 test ! -s conftest.err
13508 } && test -s conftest$ac_exeext && {
13509 test "$cross_compiling" = yes ||
13510 $as_test_x conftest$ac_exeext
13511 }; then
9370 if ac_fn_c_try_link "$LINENO"; then :
135129371 ac_cv_lib_nsl_xdrmem_create=yes
135139372 else
13514 $as_echo "$as_me: failed program was:" >&5
13515 sed 's/^/| /' conftest.$ac_ext >&5
13516
13517 ac_cv_lib_nsl_xdrmem_create=no
13518 fi
13519
13520 rm -rf conftest.dSYM
13521 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13522 conftest$ac_exeext conftest.$ac_ext
9373 ac_cv_lib_nsl_xdrmem_create=no
9374 fi
9375 rm -f core conftest.err conftest.$ac_objext \
9376 conftest$ac_exeext conftest.$ac_ext
135239377 LIBS=$ac_check_lib_save_LIBS
135249378 fi
13525 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_nsl_xdrmem_create" >&5
9379 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_nsl_xdrmem_create" >&5
135269380 $as_echo "$ac_cv_lib_nsl_xdrmem_create" >&6; }
13527 if test "x$ac_cv_lib_nsl_xdrmem_create" = x""yes; then
9381 if test "x$ac_cv_lib_nsl_xdrmem_create" = xyes; then :
135289382 cat >>confdefs.h <<_ACEOF
135299383 #define HAVE_LIBNSL 1
135309384 _ACEOF
135339387
135349388 fi
135359389
13536
13537 { $as_echo "$as_me:$LINENO: checking for xdrmem_create in -lrpc" >&5
9390 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for xdrmem_create in -lrpc" >&5
135389391 $as_echo_n "checking for xdrmem_create in -lrpc... " >&6; }
13539 if test "${ac_cv_lib_rpc_xdrmem_create+set}" = set; then
9392 if ${ac_cv_lib_rpc_xdrmem_create+:} false; then :
135409393 $as_echo_n "(cached) " >&6
135419394 else
135429395 ac_check_lib_save_LIBS=$LIBS
135439396 LIBS="-lrpc $LIBS"
13544 cat >conftest.$ac_ext <<_ACEOF
13545 /* confdefs.h. */
13546 _ACEOF
13547 cat confdefs.h >>conftest.$ac_ext
13548 cat >>conftest.$ac_ext <<_ACEOF
9397 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
135499398 /* end confdefs.h. */
135509399
135519400 /* Override any GCC internal prototype to avoid an error.
135639412 return 0;
135649413 }
135659414 _ACEOF
13566 rm -f conftest.$ac_objext conftest$ac_exeext
13567 if { (ac_try="$ac_link"
13568 case "(($ac_try" in
13569 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13570 *) ac_try_echo=$ac_try;;
13571 esac
13572 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13573 $as_echo "$ac_try_echo") >&5
13574 (eval "$ac_link") 2>conftest.er1
13575 ac_status=$?
13576 grep -v '^ *+' conftest.er1 >conftest.err
13577 rm -f conftest.er1
13578 cat conftest.err >&5
13579 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13580 (exit $ac_status); } && {
13581 test -z "$ac_c_werror_flag" ||
13582 test ! -s conftest.err
13583 } && test -s conftest$ac_exeext && {
13584 test "$cross_compiling" = yes ||
13585 $as_test_x conftest$ac_exeext
13586 }; then
9415 if ac_fn_c_try_link "$LINENO"; then :
135879416 ac_cv_lib_rpc_xdrmem_create=yes
135889417 else
13589 $as_echo "$as_me: failed program was:" >&5
13590 sed 's/^/| /' conftest.$ac_ext >&5
13591
13592 ac_cv_lib_rpc_xdrmem_create=no
13593 fi
13594
13595 rm -rf conftest.dSYM
13596 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13597 conftest$ac_exeext conftest.$ac_ext
9418 ac_cv_lib_rpc_xdrmem_create=no
9419 fi
9420 rm -f core conftest.err conftest.$ac_objext \
9421 conftest$ac_exeext conftest.$ac_ext
135989422 LIBS=$ac_check_lib_save_LIBS
135999423 fi
13600 { $as_echo "$as_me:$LINENO: result: $ac_cv_lib_rpc_xdrmem_create" >&5
9424 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_rpc_xdrmem_create" >&5
136019425 $as_echo "$ac_cv_lib_rpc_xdrmem_create" >&6; }
13602 if test "x$ac_cv_lib_rpc_xdrmem_create" = x""yes; then
9426 if test "x$ac_cv_lib_rpc_xdrmem_create" = xyes; then :
136039427 cat >>confdefs.h <<_ACEOF
136049428 #define HAVE_LIBRPC 1
136059429 _ACEOF
136709494 TKLSPEC_LHS="${TK_LIB_SPEC% *}"
136719495 LDFLAGS="${LDFLAGS} ${TCLSPEC_LHS} ${TKLSPEC_LHS}"
136729496 as_ac_Lib=`$as_echo "ac_cv_lib_tcl${TCL_VERSION}''_Tcl_CreateInterp" | $as_tr_sh`
13673 { $as_echo "$as_me:$LINENO: checking for Tcl_CreateInterp in -ltcl${TCL_VERSION}" >&5
9497 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Tcl_CreateInterp in -ltcl${TCL_VERSION}" >&5
136749498 $as_echo_n "checking for Tcl_CreateInterp in -ltcl${TCL_VERSION}... " >&6; }
13675 if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
9499 if eval \${$as_ac_Lib+:} false; then :
136769500 $as_echo_n "(cached) " >&6
136779501 else
136789502 ac_check_lib_save_LIBS=$LIBS
136799503 LIBS="-ltcl${TCL_VERSION} $LIBS"
13680 cat >conftest.$ac_ext <<_ACEOF
13681 /* confdefs.h. */
13682 _ACEOF
13683 cat confdefs.h >>conftest.$ac_ext
13684 cat >>conftest.$ac_ext <<_ACEOF
9504 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
136859505 /* end confdefs.h. */
136869506
136879507 /* Override any GCC internal prototype to avoid an error.
136999519 return 0;
137009520 }
137019521 _ACEOF
13702 rm -f conftest.$ac_objext conftest$ac_exeext
13703 if { (ac_try="$ac_link"
13704 case "(($ac_try" in
13705 *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
13706 *) ac_try_echo=$ac_try;;
13707 esac
13708 eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
13709 $as_echo "$ac_try_echo") >&5
13710 (eval "$ac_link") 2>conftest.er1
13711 ac_status=$?
13712 grep -v '^ *+' conftest.er1 >conftest.err
13713 rm -f conftest.er1
13714 cat conftest.err >&5
13715 $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
13716 (exit $ac_status); } && {
13717 test -z "$ac_c_werror_flag" ||
13718 test ! -s conftest.err
13719 } && test -s conftest$ac_exeext && {
13720 test "$cross_compiling" = yes ||
13721 $as_test_x conftest$ac_exeext
13722 }; then
9522 if ac_fn_c_try_link "$LINENO"; then :
137239523 eval "$as_ac_Lib=yes"
137249524 else
13725 $as_echo "$as_me: failed program was:" >&5
13726 sed 's/^/| /' conftest.$ac_ext >&5
13727
13728 eval "$as_ac_Lib=no"
13729 fi
13730
13731 rm -rf conftest.dSYM
13732 rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
13733 conftest$ac_exeext conftest.$ac_ext
9525 eval "$as_ac_Lib=no"
9526 fi
9527 rm -f core conftest.err conftest.$ac_objext \
9528 conftest$ac_exeext conftest.$ac_ext
137349529 LIBS=$ac_check_lib_save_LIBS
137359530 fi
13736 ac_res=`eval 'as_val=${'$as_ac_Lib'}
13737 $as_echo "$as_val"'`
13738 { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
9531 eval ac_res=\$$as_ac_Lib
9532 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_res" >&5
137399533 $as_echo "$ac_res" >&6; }
13740 as_val=`eval 'as_val=${'$as_ac_Lib'}
13741 $as_echo "$as_val"'`
13742 if test "x$as_val" = x""yes; then
9534 if eval test \"x\$"$as_ac_Lib"\" = x"yes"; then :
137439535 TCL_LDADD=${TCL_LIB_SPEC}
137449536 else
137459537 need_tcl=yes
137469538 fi
137479539
137489540 if test "$need_tcl" = "yes" ; then
13749 { $as_echo "$as_me:$LINENO: WARNING:
9541 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING:
137509542 Tcl support not enabled." >&5
137519543 $as_echo "$as_me: WARNING:
137529544 Tcl support not enabled." >&2;}
137769568
137779569
137789570
13779 { $as_echo "$as_me:$LINENO:
9571 { $as_echo "$as_me:${as_lineno-$LINENO}:
137809572 --------------------------------------------
137819573 Configuration summary:
137829574
139169708 case $ac_val in #(
139179709 *${as_nl}*)
139189710 case $ac_var in #(
13919 *_cv_*) { $as_echo "$as_me:$LINENO: WARNING: cache variable $ac_var contains a newline" >&5
9711 *_cv_*) { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: cache variable $ac_var contains a newline" >&5
139209712 $as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
139219713 esac
139229714 case $ac_var in #(
139239715 _ | IFS | as_nl) ;; #(
139249716 BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
13925 *) $as_unset $ac_var ;;
9717 *) { eval $ac_var=; unset $ac_var;} ;;
139269718 esac ;;
139279719 esac
139289720 done
139309722 (set) 2>&1 |
139319723 case $as_nl`(ac_space=' '; set) 2>&1` in #(
139329724 *${as_nl}ac_space=\ *)
13933 # `set' does not quote correctly, so add quotes (double-quote
13934 # substitution turns \\\\ into \\, and sed turns \\ into \).
9725 # `set' does not quote correctly, so add quotes: double-quote
9726 # substitution turns \\\\ into \\, and sed turns \\ into \.
139359727 sed -n \
139369728 "s/'/'\\\\''/g;
139379729 s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\\2'/p"
139539745 :end' >>confcache
139549746 if diff "$cache_file" confcache >/dev/null 2>&1; then :; else
139559747 if test -w "$cache_file"; then
13956 test "x$cache_file" != "x/dev/null" &&
13957 { $as_echo "$as_me:$LINENO: updating cache $cache_file" >&5
9748 if test "x$cache_file" != "x/dev/null"; then
9749 { $as_echo "$as_me:${as_lineno-$LINENO}: updating cache $cache_file" >&5
139589750 $as_echo "$as_me: updating cache $cache_file" >&6;}
13959 cat confcache >$cache_file
9751 if test ! -f "$cache_file" || test -h "$cache_file"; then
9752 cat confcache >"$cache_file"
9753 else
9754 case $cache_file in #(
9755 */* | ?:*)
9756 mv -f confcache "$cache_file"$$ &&
9757 mv -f "$cache_file"$$ "$cache_file" ;; #(
9758 *)
9759 mv -f confcache "$cache_file" ;;
9760 esac
9761 fi
9762 fi
139609763 else
13961 { $as_echo "$as_me:$LINENO: not updating unwritable cache $cache_file" >&5
9764 { $as_echo "$as_me:${as_lineno-$LINENO}: not updating unwritable cache $cache_file" >&5
139629765 $as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
139639766 fi
139649767 fi
139729775
139739776 ac_libobjs=
139749777 ac_ltlibobjs=
9778 U=
139759779 for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
139769780 # 1. Remove the extension, and $U if already installed.
139779781 ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
139789782 ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
139799783 # 2. Prepend LIBOBJDIR. When used with automake>=1.10 LIBOBJDIR
139809784 # will be set to the directory where LIBOBJS objects are built.
13981 ac_libobjs="$ac_libobjs \${LIBOBJDIR}$ac_i\$U.$ac_objext"
13982 ac_ltlibobjs="$ac_ltlibobjs \${LIBOBJDIR}$ac_i"'$U.lo'
9785 as_fn_append ac_libobjs " \${LIBOBJDIR}$ac_i\$U.$ac_objext"
9786 as_fn_append ac_ltlibobjs " \${LIBOBJDIR}$ac_i"'$U.lo'
139839787 done
139849788 LIBOBJS=$ac_libobjs
139859789
139869790 LTLIBOBJS=$ac_ltlibobjs
139879791
139889792
9793 { $as_echo "$as_me:${as_lineno-$LINENO}: checking that generated files are newer than configure" >&5
9794 $as_echo_n "checking that generated files are newer than configure... " >&6; }
9795 if test -n "$am_sleep_pid"; then
9796 # Hide warnings about reused PIDs.
9797 wait $am_sleep_pid 2>/dev/null
9798 fi
9799 { $as_echo "$as_me:${as_lineno-$LINENO}: result: done" >&5
9800 $as_echo "done" >&6; }
139899801 if test -n "$EXEEXT"; then
139909802 am__EXEEXT_TRUE=
139919803 am__EXEEXT_FALSE='#'
139959807 fi
139969808
139979809 if test -z "${MAINTAINER_MODE_TRUE}" && test -z "${MAINTAINER_MODE_FALSE}"; then
13998 { { $as_echo "$as_me:$LINENO: error: conditional \"MAINTAINER_MODE\" was never defined.
13999 Usually this means the macro was only invoked conditionally." >&5
14000 $as_echo "$as_me: error: conditional \"MAINTAINER_MODE\" was never defined.
14001 Usually this means the macro was only invoked conditionally." >&2;}
14002 { (exit 1); exit 1; }; }
9810 as_fn_error $? "conditional \"MAINTAINER_MODE\" was never defined.
9811 Usually this means the macro was only invoked conditionally." "$LINENO" 5
140039812 fi
140049813 if test -z "${FDO_MIME_TRUE}" && test -z "${FDO_MIME_FALSE}"; then
14005 { { $as_echo "$as_me:$LINENO: error: conditional \"FDO_MIME\" was never defined.
14006 Usually this means the macro was only invoked conditionally." >&5
14007 $as_echo "$as_me: error: conditional \"FDO_MIME\" was never defined.
14008 Usually this means the macro was only invoked conditionally." >&2;}
14009 { (exit 1); exit 1; }; }
9814 as_fn_error $? "conditional \"FDO_MIME\" was never defined.
9815 Usually this means the macro was only invoked conditionally." "$LINENO" 5
140109816 fi
140119817 if test -z "${AMDEP_TRUE}" && test -z "${AMDEP_FALSE}"; then
14012 { { $as_echo "$as_me:$LINENO: error: conditional \"AMDEP\" was never defined.
14013 Usually this means the macro was only invoked conditionally." >&5
14014 $as_echo "$as_me: error: conditional \"AMDEP\" was never defined.
14015 Usually this means the macro was only invoked conditionally." >&2;}
14016 { (exit 1); exit 1; }; }
9818 as_fn_error $? "conditional \"AMDEP\" was never defined.
9819 Usually this means the macro was only invoked conditionally." "$LINENO" 5
140179820 fi
140189821 if test -z "${am__fastdepCC_TRUE}" && test -z "${am__fastdepCC_FALSE}"; then
14019 { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCC\" was never defined.
14020 Usually this means the macro was only invoked conditionally." >&5
14021 $as_echo "$as_me: error: conditional \"am__fastdepCC\" was never defined.
14022 Usually this means the macro was only invoked conditionally." >&2;}
14023 { (exit 1); exit 1; }; }
14024 fi
14025
14026 : ${CONFIG_STATUS=./config.status}
9822 as_fn_error $? "conditional \"am__fastdepCC\" was never defined.
9823 Usually this means the macro was only invoked conditionally." "$LINENO" 5
9824 fi
9825
9826 : "${CONFIG_STATUS=./config.status}"
140279827 ac_write_fail=0
140289828 ac_clean_files_save=$ac_clean_files
140299829 ac_clean_files="$ac_clean_files $CONFIG_STATUS"
14030 { $as_echo "$as_me:$LINENO: creating $CONFIG_STATUS" >&5
9830 { $as_echo "$as_me:${as_lineno-$LINENO}: creating $CONFIG_STATUS" >&5
140319831 $as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
14032 cat >$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
9832 as_write_fail=0
9833 cat >$CONFIG_STATUS <<_ASEOF || as_write_fail=1
140339834 #! $SHELL
140349835 # Generated by $as_me.
140359836 # Run this file to recreate the current configuration.
140399840 debug=false
140409841 ac_cs_recheck=false
140419842 ac_cs_silent=false
9843
140429844 SHELL=\${CONFIG_SHELL-$SHELL}
14043 _ACEOF
14044
14045 cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
14046 ## --------------------- ##
14047 ## M4sh Initialization. ##
14048 ## --------------------- ##
9845 export SHELL
9846 _ASEOF
9847 cat >>$CONFIG_STATUS <<\_ASEOF || as_write_fail=1
9848 ## -------------------- ##
9849 ## M4sh Initialization. ##
9850 ## -------------------- ##
140499851
140509852 # Be more Bourne compatible
140519853 DUALCASE=1; export DUALCASE # for MKS sh
14052 if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
9854 if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then :
140539855 emulate sh
140549856 NULLCMD=:
140559857 # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
140579859 alias -g '${1+"$@"}'='"$@"'
140589860 setopt NO_GLOB_SUBST
140599861 else
14060 case `(set -o) 2>/dev/null` in
14061 *posix*) set -o posix ;;
9862 case `(set -o) 2>/dev/null` in #(
9863 *posix*) :
9864 set -o posix ;; #(
9865 *) :
9866 ;;
140629867 esac
14063
14064 fi
14065
14066
14067
14068
14069 # PATH needs CR
14070 # Avoid depending upon Character Ranges.
14071 as_cr_letters='abcdefghijklmnopqrstuvwxyz'
14072 as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
14073 as_cr_Letters=$as_cr_letters$as_cr_LETTERS
14074 as_cr_digits='0123456789'
14075 as_cr_alnum=$as_cr_Letters$as_cr_digits
9868 fi
9869
140769870
140779871 as_nl='
140789872 '
140819875 as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
140829876 as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
140839877 as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
14084 if (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
9878 # Prefer a ksh shell builtin over an external printf program on Solaris,
9879 # but without wasting forks for bash or zsh.
9880 if test -z "$BASH_VERSION$ZSH_VERSION" \
9881 && (test "X`print -r -- $as_echo`" = "X$as_echo") 2>/dev/null; then
9882 as_echo='print -r --'
9883 as_echo_n='print -rn --'
9884 elif (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
140859885 as_echo='printf %s\n'
140869886 as_echo_n='printf %s'
140879887 else
140929892 as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
140939893 as_echo_n_body='eval
140949894 arg=$1;
14095 case $arg in
9895 case $arg in #(
140969896 *"$as_nl"*)
140979897 expr "X$arg" : "X\\(.*\\)$as_nl";
140989898 arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
141159915 }
141169916 fi
141179917
14118 # Support unset when possible.
14119 if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
14120 as_unset=unset
14121 else
14122 as_unset=false
14123 fi
14124
141259918
141269919 # IFS
141279920 # We need space, tab and new line, in precisely that order. Quoting is
141319924 IFS=" "" $as_nl"
141329925
141339926 # Find who we are. Look in the path if we contain no directory separator.
14134 case $0 in
9927 as_myself=
9928 case $0 in #((
141359929 *[\\/]* ) as_myself=$0 ;;
141369930 *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
141379931 for as_dir in $PATH
141389932 do
141399933 IFS=$as_save_IFS
141409934 test -z "$as_dir" && as_dir=.
14141 test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
14142 done
9935 test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
9936 done
141439937 IFS=$as_save_IFS
141449938
141459939 ;;
141519945 fi
141529946 if test ! -f "$as_myself"; then
141539947 $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
14154 { (exit 1); exit 1; }
14155 fi
14156
14157 # Work around bugs in pre-3.0 UWIN ksh.
14158 for as_var in ENV MAIL MAILPATH
14159 do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
9948 exit 1
9949 fi
9950
9951 # Unset variables that we do not need and which cause bugs (e.g. in
9952 # pre-3.0 UWIN ksh). But do not cause bugs in bash 2.01; the "|| exit 1"
9953 # suppresses any "Segmentation fault" message there. '((' could
9954 # trigger a bug in pdksh 5.2.14.
9955 for as_var in BASH_ENV ENV MAIL MAILPATH
9956 do eval test x\${$as_var+set} = xset \
9957 && ( (unset $as_var) || exit 1) >/dev/null 2>&1 && unset $as_var || :
141609958 done
141619959 PS1='$ '
141629960 PS2='> '
141689966 LANGUAGE=C
141699967 export LANGUAGE
141709968
14171 # Required to use basename.
9969 # CDPATH.
9970 (unset CDPATH) >/dev/null 2>&1 && unset CDPATH
9971
9972
9973 # as_fn_error STATUS ERROR [LINENO LOG_FD]
9974 # ----------------------------------------
9975 # Output "`basename $0`: error: ERROR" to stderr. If LINENO and LOG_FD are
9976 # provided, also output the error to LOG_FD, referencing LINENO. Then exit the
9977 # script with STATUS, using 1 if that was 0.
9978 as_fn_error ()
9979 {
9980 as_status=$1; test $as_status -eq 0 && as_status=1
9981 if test "$4"; then
9982 as_lineno=${as_lineno-"$3"} as_lineno_stack=as_lineno_stack=$as_lineno_stack
9983 $as_echo "$as_me:${as_lineno-$LINENO}: error: $2" >&$4
9984 fi
9985 $as_echo "$as_me: error: $2" >&2
9986 as_fn_exit $as_status
9987 } # as_fn_error
9988
9989
9990 # as_fn_set_status STATUS
9991 # -----------------------
9992 # Set $? to STATUS, without forking.
9993 as_fn_set_status ()
9994 {
9995 return $1
9996 } # as_fn_set_status
9997
9998 # as_fn_exit STATUS
9999 # -----------------
10000 # Exit the shell with STATUS, even in a "trap 0" or "set -e" context.
10001 as_fn_exit ()
10002 {
10003 set +e
10004 as_fn_set_status $1
10005 exit $1
10006 } # as_fn_exit
10007
10008 # as_fn_unset VAR
10009 # ---------------
10010 # Portably unset VAR.
10011 as_fn_unset ()
10012 {
10013 { eval $1=; unset $1;}
10014 }
10015 as_unset=as_fn_unset
10016 # as_fn_append VAR VALUE
10017 # ----------------------
10018 # Append the text in VALUE to the end of the definition contained in VAR. Take
10019 # advantage of any shell optimizations that allow amortized linear growth over
10020 # repeated appends, instead of the typical quadratic growth present in naive
10021 # implementations.
10022 if (eval "as_var=1; as_var+=2; test x\$as_var = x12") 2>/dev/null; then :
10023 eval 'as_fn_append ()
10024 {
10025 eval $1+=\$2
10026 }'
10027 else
10028 as_fn_append ()
10029 {
10030 eval $1=\$$1\$2
10031 }
10032 fi # as_fn_append
10033
10034 # as_fn_arith ARG...
10035 # ------------------
10036 # Perform arithmetic evaluation on the ARGs, and store the result in the
10037 # global $as_val. Take advantage of shells that can avoid forks. The arguments
10038 # must be portable across $(()) and expr.
10039 if (eval "test \$(( 1 + 1 )) = 2") 2>/dev/null; then :
10040 eval 'as_fn_arith ()
10041 {
10042 as_val=$(( $* ))
10043 }'
10044 else
10045 as_fn_arith ()
10046 {
10047 as_val=`expr "$@" || test $? -eq 1`
10048 }
10049 fi # as_fn_arith
10050
10051
1417210052 if expr a : '\(a\)' >/dev/null 2>&1 &&
1417310053 test "X`expr 00001 : '.*\(...\)'`" = X001; then
1417410054 as_expr=expr
1418210062 as_basename=false
1418310063 fi
1418410064
14185
14186 # Name of the executable.
10065 if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
10066 as_dirname=dirname
10067 else
10068 as_dirname=false
10069 fi
10070
1418710071 as_me=`$as_basename -- "$0" ||
1418810072 $as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
1418910073 X"$0" : 'X\(//\)$' \| \
1420310087 }
1420410088 s/.*/./; q'`
1420510089
14206 # CDPATH.
14207 $as_unset CDPATH
14208
14209
14210
14211 as_lineno_1=$LINENO
14212 as_lineno_2=$LINENO
14213 test "x$as_lineno_1" != "x$as_lineno_2" &&
14214 test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2" || {
14215
14216 # Create $as_me.lineno as a copy of $as_myself, but with $LINENO
14217 # uniformly replaced by the line number. The first 'sed' inserts a
14218 # line-number line after each line using $LINENO; the second 'sed'
14219 # does the real work. The second script uses 'N' to pair each
14220 # line-number line with the line containing $LINENO, and appends
14221 # trailing '-' during substitution so that $LINENO is not a special
14222 # case at line end.
14223 # (Raja R Harinath suggested sed '=', and Paul Eggert wrote the
14224 # scripts with optimization help from Paolo Bonzini. Blame Lee
14225 # E. McMahon (1931-1989) for sed's syntax. :-)
14226 sed -n '
14227 p
14228 /[$]LINENO/=
14229 ' <$as_myself |
14230 sed '
14231 s/[$]LINENO.*/&-/
14232 t lineno
14233 b
14234 :lineno
14235 N
14236 :loop
14237 s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
14238 t loop
14239 s/-\n.*//
14240 ' >$as_me.lineno &&
14241 chmod +x "$as_me.lineno" ||
14242 { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2
14243 { (exit 1); exit 1; }; }
14244
14245 # Don't try to exec as it changes $[0], causing all sort of problems
14246 # (the dirname of $[0] is not the place where we might find the
14247 # original and so on. Autoconf is especially sensitive to this).
14248 . "./$as_me.lineno"
14249 # Exit status is that of the last command.
14250 exit
14251 }
14252
14253
14254 if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
14255 as_dirname=dirname
14256 else
14257 as_dirname=false
14258 fi
10090 # Avoid depending upon Character Ranges.
10091 as_cr_letters='abcdefghijklmnopqrstuvwxyz'
10092 as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
10093 as_cr_Letters=$as_cr_letters$as_cr_LETTERS
10094 as_cr_digits='0123456789'
10095 as_cr_alnum=$as_cr_Letters$as_cr_digits
1425910096
1426010097 ECHO_C= ECHO_N= ECHO_T=
14261 case `echo -n x` in
10098 case `echo -n x` in #(((((
1426210099 -n*)
14263 case `echo 'x\c'` in
10100 case `echo 'xy\c'` in
1426410101 *c*) ECHO_T=' ';; # ECHO_T is single tab character.
14265 *) ECHO_C='\c';;
10102 xy) ECHO_C='\c';;
10103 *) echo `echo ksh88 bug on AIX 6.1` > /dev/null
10104 ECHO_T=' ';;
1426610105 esac;;
1426710106 *)
1426810107 ECHO_N='-n';;
1426910108 esac
14270 if expr a : '\(a\)' >/dev/null 2>&1 &&
14271 test "X`expr 00001 : '.*\(...\)'`" = X001; then
14272 as_expr=expr
14273 else
14274 as_expr=false
14275 fi
1427610109
1427710110 rm -f conf$$ conf$$.exe conf$$.file
1427810111 if test -d conf$$.dir; then
1428710120 # ... but there are two gotchas:
1428810121 # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
1428910122 # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
14290 # In both cases, we have to default to `cp -p'.
10123 # In both cases, we have to default to `cp -pR'.
1429110124 ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
14292 as_ln_s='cp -p'
10125 as_ln_s='cp -pR'
1429310126 elif ln conf$$.file conf$$ 2>/dev/null; then
1429410127 as_ln_s=ln
1429510128 else
14296 as_ln_s='cp -p'
10129 as_ln_s='cp -pR'
1429710130 fi
1429810131 else
14299 as_ln_s='cp -p'
10132 as_ln_s='cp -pR'
1430010133 fi
1430110134 rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
1430210135 rmdir conf$$.dir 2>/dev/null
1430310136
10137
10138 # as_fn_mkdir_p
10139 # -------------
10140 # Create "$as_dir" as a directory, including parents if necessary.
10141 as_fn_mkdir_p ()
10142 {
10143
10144 case $as_dir in #(
10145 -*) as_dir=./$as_dir;;
10146 esac
10147 test -d "$as_dir" || eval $as_mkdir_p || {
10148 as_dirs=
10149 while :; do
10150 case $as_dir in #(
10151 *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
10152 *) as_qdir=$as_dir;;
10153 esac
10154 as_dirs="'$as_qdir' $as_dirs"
10155 as_dir=`$as_dirname -- "$as_dir" ||
10156 $as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
10157 X"$as_dir" : 'X\(//\)[^/]' \| \
10158 X"$as_dir" : 'X\(//\)$' \| \
10159 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
10160 $as_echo X"$as_dir" |
10161 sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
10162 s//\1/
10163 q
10164 }
10165 /^X\(\/\/\)[^/].*/{
10166 s//\1/
10167 q
10168 }
10169 /^X\(\/\/\)$/{
10170 s//\1/
10171 q
10172 }
10173 /^X\(\/\).*/{
10174 s//\1/
10175 q
10176 }
10177 s/.*/./; q'`
10178 test -d "$as_dir" && break
10179 done
10180 test -z "$as_dirs" || eval "mkdir $as_dirs"
10181 } || test -d "$as_dir" || as_fn_error $? "cannot create directory $as_dir"
10182
10183
10184 } # as_fn_mkdir_p
1430410185 if mkdir -p . 2>/dev/null; then
14305 as_mkdir_p=:
10186 as_mkdir_p='mkdir -p "$as_dir"'
1430610187 else
1430710188 test -d ./-p && rmdir ./-p
1430810189 as_mkdir_p=false
1430910190 fi
1431010191
14311 if test -x / >/dev/null 2>&1; then
14312 as_test_x='test -x'
14313 else
14314 if ls -dL / >/dev/null 2>&1; then
14315 as_ls_L_option=L
14316 else
14317 as_ls_L_option=
14318 fi
14319 as_test_x='
14320 eval sh -c '\''
14321 if test -d "$1"; then
14322 test -d "$1/.";
14323 else
14324 case $1 in
14325 -*)set "./$1";;
14326 esac;
14327 case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in
14328 ???[sx]*):;;*)false;;esac;fi
14329 '\'' sh
14330 '
14331 fi
14332 as_executable_p=$as_test_x
10192
10193 # as_fn_executable_p FILE
10194 # -----------------------
10195 # Test if FILE is an executable regular file.
10196 as_fn_executable_p ()
10197 {
10198 test -f "$1" && test -x "$1"
10199 } # as_fn_executable_p
10200 as_test_x='test -x'
10201 as_executable_p=as_fn_executable_p
1433310202
1433410203 # Sed expression to map a string onto a valid CPP name.
1433510204 as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
1433910208
1434010209
1434110210 exec 6>&1
14342
14343 # Save the log message, to keep $[0] and so on meaningful, and to
10211 ## ----------------------------------- ##
10212 ## Main body of $CONFIG_STATUS script. ##
10213 ## ----------------------------------- ##
10214 _ASEOF
10215 test $as_write_fail = 0 && chmod +x $CONFIG_STATUS || ac_write_fail=1
10216
10217 cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
10218 # Save the log message, to keep $0 and so on meaningful, and to
1434410219 # report actual input values of CONFIG_FILES etc. instead of their
1434510220 # values after options handling.
1434610221 ac_log="
14347 This file was extended by gtkwave $as_me 3.3.45, which was
14348 generated by GNU Autoconf 2.63. Invocation command line was
10222 This file was extended by gtkwave $as_me 3.3.46, which was
10223 generated by GNU Autoconf 2.69. Invocation command line was
1434910224
1435010225 CONFIG_FILES = $CONFIG_FILES
1435110226 CONFIG_HEADERS = $CONFIG_HEADERS
1437710252
1437810253 cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
1437910254 ac_cs_usage="\
14380 \`$as_me' instantiates files from templates according to the
14381 current configuration.
14382
14383 Usage: $0 [OPTION]... [FILE]...
10255 \`$as_me' instantiates files and other configuration actions
10256 from templates according to the current configuration. Unless the files
10257 and actions are specified as TAGs, all are instantiated by default.
10258
10259 Usage: $0 [OPTION]... [TAG]...
1438410260
1438510261 -h, --help print this help, then exit
1438610262 -V, --version print version number and configuration settings, then exit
10263 --config print configuration, then exit
1438710264 -q, --quiet, --silent
1438810265 do not print progress messages
1438910266 -d, --debug don't remove temporary files
1440210279 Configuration commands:
1440310280 $config_commands
1440410281
14405 Report bugs to <bug-autoconf@gnu.org>."
10282 Report bugs to <bybell@rocketmail.com>."
1440610283
1440710284 _ACEOF
1440810285 cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
10286 ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
1440910287 ac_cs_version="\\
14410 gtkwave config.status 3.3.45
14411 configured by $0, generated by GNU Autoconf 2.63,
14412 with options \\"`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`\\"
14413
14414 Copyright (C) 2008 Free Software Foundation, Inc.
10288 gtkwave config.status 3.3.46
10289 configured by $0, generated by GNU Autoconf 2.69,
10290 with options \\"\$ac_cs_config\\"
10291
10292 Copyright (C) 2012 Free Software Foundation, Inc.
1441510293 This config.status script is free software; the Free Software Foundation
1441610294 gives unlimited permission to copy, distribute and modify it."
1441710295
1442910307 while test $# != 0
1443010308 do
1443110309 case $1 in
14432 --*=*)
10310 --*=?*)
1443310311 ac_option=`expr "X$1" : 'X\([^=]*\)='`
1443410312 ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
10313 ac_shift=:
10314 ;;
10315 --*=)
10316 ac_option=`expr "X$1" : 'X\([^=]*\)='`
10317 ac_optarg=
1443510318 ac_shift=:
1443610319 ;;
1443710320 *)
1444710330 ac_cs_recheck=: ;;
1444810331 --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
1444910332 $as_echo "$ac_cs_version"; exit ;;
10333 --config | --confi | --conf | --con | --co | --c )
10334 $as_echo "$ac_cs_config"; exit ;;
1445010335 --debug | --debu | --deb | --de | --d | -d )
1445110336 debug=: ;;
1445210337 --file | --fil | --fi | --f )
1445310338 $ac_shift
1445410339 case $ac_optarg in
1445510340 *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
10341 '') as_fn_error $? "missing file argument" ;;
1445610342 esac
14457 CONFIG_FILES="$CONFIG_FILES '$ac_optarg'"
10343 as_fn_append CONFIG_FILES " '$ac_optarg'"
1445810344 ac_need_defaults=false;;
1445910345 --header | --heade | --head | --hea )
1446010346 $ac_shift
1446110347 case $ac_optarg in
1446210348 *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
1446310349 esac
14464 CONFIG_HEADERS="$CONFIG_HEADERS '$ac_optarg'"
10350 as_fn_append CONFIG_HEADERS " '$ac_optarg'"
1446510351 ac_need_defaults=false;;
1446610352 --he | --h)
1446710353 # Conflict between --help and --header
14468 { $as_echo "$as_me: error: ambiguous option: $1
14469 Try \`$0 --help' for more information." >&2
14470 { (exit 1); exit 1; }; };;
10354 as_fn_error $? "ambiguous option: \`$1'
10355 Try \`$0 --help' for more information.";;
1447110356 --help | --hel | -h )
1447210357 $as_echo "$ac_cs_usage"; exit ;;
1447310358 -q | -quiet | --quiet | --quie | --qui | --qu | --q \
1447510360 ac_cs_silent=: ;;
1447610361
1447710362 # This is an error.
14478 -*) { $as_echo "$as_me: error: unrecognized option: $1
14479 Try \`$0 --help' for more information." >&2
14480 { (exit 1); exit 1; }; } ;;
14481
14482 *) ac_config_targets="$ac_config_targets $1"
10363 -*) as_fn_error $? "unrecognized option: \`$1'
10364 Try \`$0 --help' for more information." ;;
10365
10366 *) as_fn_append ac_config_targets " $1"
1448310367 ac_need_defaults=false ;;
1448410368
1448510369 esac
1449610380 _ACEOF
1449710381 cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
1449810382 if \$ac_cs_recheck; then
14499 set X '$SHELL' '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
10383 set X $SHELL '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
1450010384 shift
1450110385 \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
1450210386 CONFIG_SHELL='$SHELL'
1457710461 "share/icons/gnome/48x48/mimetypes/Makefile") CONFIG_FILES="$CONFIG_FILES share/icons/gnome/48x48/mimetypes/Makefile" ;;
1457810462 "share/applications/Makefile") CONFIG_FILES="$CONFIG_FILES share/applications/Makefile" ;;
1457910463
14580 *) { { $as_echo "$as_me:$LINENO: error: invalid argument: $ac_config_target" >&5
14581 $as_echo "$as_me: error: invalid argument: $ac_config_target" >&2;}
14582 { (exit 1); exit 1; }; };;
10464 *) as_fn_error $? "invalid argument: \`$ac_config_target'" "$LINENO" 5;;
1458310465 esac
1458410466 done
1458510467
1460210484 # after its creation but before its name has been assigned to `$tmp'.
1460310485 $debug ||
1460410486 {
14605 tmp=
10487 tmp= ac_tmp=
1460610488 trap 'exit_status=$?
14607 { test -z "$tmp" || test ! -d "$tmp" || rm -fr "$tmp"; } && exit $exit_status
10489 : "${ac_tmp:=$tmp}"
10490 { test ! -d "$ac_tmp" || rm -fr "$ac_tmp"; } && exit $exit_status
1460810491 ' 0
14609 trap '{ (exit 1); exit 1; }' 1 2 13 15
10492 trap 'as_fn_exit 1' 1 2 13 15
1461010493 }
1461110494 # Create a (secure) tmp directory for tmp files.
1461210495
1461310496 {
1461410497 tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
14615 test -n "$tmp" && test -d "$tmp"
10498 test -d "$tmp"
1461610499 } ||
1461710500 {
1461810501 tmp=./conf$$-$RANDOM
1461910502 (umask 077 && mkdir "$tmp")
14620 } ||
14621 {
14622 $as_echo "$as_me: cannot create a temporary directory in ." >&2
14623 { (exit 1); exit 1; }
14624 }
10503 } || as_fn_error $? "cannot create a temporary directory in ." "$LINENO" 5
10504 ac_tmp=$tmp
1462510505
1462610506 # Set up the scripts for CONFIG_FILES section.
1462710507 # No need to generate them if there are no CONFIG_FILES.
1462910509 if test -n "$CONFIG_FILES"; then
1463010510
1463110511
14632 ac_cr='
14633 '
10512 ac_cr=`echo X | tr X '\015'`
10513 # On cygwin, bash can eat \r inside `` if the user requested igncr.
10514 # But we know of no other shell where ac_cr would be empty at this
10515 # point, so we can use a bashism as a fallback.
10516 if test "x$ac_cr" = x; then
10517 eval ac_cr=\$\'\\r\'
10518 fi
1463410519 ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
1463510520 if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
1463610521 ac_cs_awk_cr='\\r'
1463810523 ac_cs_awk_cr=$ac_cr
1463910524 fi
1464010525
14641 echo 'BEGIN {' >"$tmp/subs1.awk" &&
10526 echo 'BEGIN {' >"$ac_tmp/subs1.awk" &&
1464210527 _ACEOF
1464310528
1464410529
1464710532 echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
1464810533 echo "_ACEOF"
1464910534 } >conf$$subs.sh ||
14650 { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
14651 $as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
14652 { (exit 1); exit 1; }; }
14653 ac_delim_num=`echo "$ac_subst_vars" | grep -c '$'`
10535 as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
10536 ac_delim_num=`echo "$ac_subst_vars" | grep -c '^'`
1465410537 ac_delim='%!_!# '
1465510538 for ac_last_try in false false false false false :; do
1465610539 . ./conf$$subs.sh ||
14657 { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
14658 $as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
14659 { (exit 1); exit 1; }; }
10540 as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
1466010541
1466110542 ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
1466210543 if test $ac_delim_n = $ac_delim_num; then
1466310544 break
1466410545 elif $ac_last_try; then
14665 { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
14666 $as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
14667 { (exit 1); exit 1; }; }
10546 as_fn_error $? "could not make $CONFIG_STATUS" "$LINENO" 5
1466810547 else
1466910548 ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
1467010549 fi
1467210551 rm -f conf$$subs.sh
1467310552
1467410553 cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
14675 cat >>"\$tmp/subs1.awk" <<\\_ACAWK &&
10554 cat >>"\$ac_tmp/subs1.awk" <<\\_ACAWK &&
1467610555 _ACEOF
1467710556 sed -n '
1467810557 h
1468610565 t delim
1468710566 :nl
1468810567 h
14689 s/\(.\{148\}\).*/\1/
10568 s/\(.\{148\}\)..*/\1/
1469010569 t more1
1469110570 s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
1469210571 p
1470010579 t nl
1470110580 :delim
1470210581 h
14703 s/\(.\{148\}\).*/\1/
10582 s/\(.\{148\}\)..*/\1/
1470410583 t more2
1470510584 s/["\\]/\\&/g; s/^/"/; s/$/"/
1470610585 p
1472010599 rm -f conf$$subs.awk
1472110600 cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
1472210601 _ACAWK
14723 cat >>"\$tmp/subs1.awk" <<_ACAWK &&
10602 cat >>"\$ac_tmp/subs1.awk" <<_ACAWK &&
1472410603 for (key in S) S_is_set[key] = 1
1472510604 FS = ""
1472610605
1475210631 sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
1475310632 else
1475410633 cat
14755 fi < "$tmp/subs1.awk" > "$tmp/subs.awk" \
14756 || { { $as_echo "$as_me:$LINENO: error: could not setup config files machinery" >&5
14757 $as_echo "$as_me: error: could not setup config files machinery" >&2;}
14758 { (exit 1); exit 1; }; }
14759 _ACEOF
14760
14761 # VPATH may cause trouble with some makes, so we remove $(srcdir),
14762 # ${srcdir} and @srcdir@ from VPATH if srcdir is ".", strip leading and
10634 fi < "$ac_tmp/subs1.awk" > "$ac_tmp/subs.awk" \
10635 || as_fn_error $? "could not setup config files machinery" "$LINENO" 5
10636 _ACEOF
10637
10638 # VPATH may cause trouble with some makes, so we remove sole $(srcdir),
10639 # ${srcdir} and @srcdir@ entries from VPATH if srcdir is ".", strip leading and
1476310640 # trailing colons and then remove the whole line if VPATH becomes empty
1476410641 # (actually we leave an empty line to preserve line numbers).
1476510642 if test "x$srcdir" = x.; then
14766 ac_vpsub='/^[ ]*VPATH[ ]*=/{
14767 s/:*\$(srcdir):*/:/
14768 s/:*\${srcdir}:*/:/
14769 s/:*@srcdir@:*/:/
14770 s/^\([^=]*=[ ]*\):*/\1/
10643 ac_vpsub='/^[ ]*VPATH[ ]*=[ ]*/{
10644 h
10645 s///
10646 s/^/:/
10647 s/[ ]*$/:/
10648 s/:\$(srcdir):/:/g
10649 s/:\${srcdir}:/:/g
10650 s/:@srcdir@:/:/g
10651 s/^:*//
1477110652 s/:*$//
10653 x
10654 s/\(=[ ]*\).*/\1/
10655 G
10656 s/\n//
1477210657 s/^[^=]*=[ ]*$//
1477310658 }'
1477410659 fi
1478010665 # No need to generate them if there are no CONFIG_HEADERS.
1478110666 # This happens for instance with `./config.status Makefile'.
1478210667 if test -n "$CONFIG_HEADERS"; then
14783 cat >"$tmp/defines.awk" <<\_ACAWK ||
10668 cat >"$ac_tmp/defines.awk" <<\_ACAWK ||
1478410669 BEGIN {
1478510670 _ACEOF
1478610671
1479210677 # handling of long lines.
1479310678 ac_delim='%!_!# '
1479410679 for ac_last_try in false false :; do
14795 ac_t=`sed -n "/$ac_delim/p" confdefs.h`
14796 if test -z "$ac_t"; then
10680 ac_tt=`sed -n "/$ac_delim/p" confdefs.h`
10681 if test -z "$ac_tt"; then
1479710682 break
1479810683 elif $ac_last_try; then
14799 { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_HEADERS" >&5
14800 $as_echo "$as_me: error: could not make $CONFIG_HEADERS" >&2;}
14801 { (exit 1); exit 1; }; }
10684 as_fn_error $? "could not make $CONFIG_HEADERS" "$LINENO" 5
1480210685 else
1480310686 ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
1480410687 fi
1488310766 _ACAWK
1488410767 _ACEOF
1488510768 cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
14886 { { $as_echo "$as_me:$LINENO: error: could not setup config headers machinery" >&5
14887 $as_echo "$as_me: error: could not setup config headers machinery" >&2;}
14888 { (exit 1); exit 1; }; }
10769 as_fn_error $? "could not setup config headers machinery" "$LINENO" 5
1488910770 fi # test -n "$CONFIG_HEADERS"
1489010771
1489110772
1489810779 esac
1489910780 case $ac_mode$ac_tag in
1490010781 :[FHL]*:*);;
14901 :L* | :C*:*) { { $as_echo "$as_me:$LINENO: error: invalid tag $ac_tag" >&5
14902 $as_echo "$as_me: error: invalid tag $ac_tag" >&2;}
14903 { (exit 1); exit 1; }; };;
10782 :L* | :C*:*) as_fn_error $? "invalid tag \`$ac_tag'" "$LINENO" 5;;
1490410783 :[FH]-) ac_tag=-:-;;
1490510784 :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
1490610785 esac
1491910798 for ac_f
1492010799 do
1492110800 case $ac_f in
14922 -) ac_f="$tmp/stdin";;
10801 -) ac_f="$ac_tmp/stdin";;
1492310802 *) # Look for the file first in the build tree, then in the source tree
1492410803 # (if the path is not absolute). The absolute path cannot be DOS-style,
1492510804 # because $ac_f cannot contain `:'.
1492810807 [\\/$]*) false;;
1492910808 *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
1493010809 esac ||
14931 { { $as_echo "$as_me:$LINENO: error: cannot find input file: $ac_f" >&5
14932 $as_echo "$as_me: error: cannot find input file: $ac_f" >&2;}
14933 { (exit 1); exit 1; }; };;
10810 as_fn_error 1 "cannot find input file: \`$ac_f'" "$LINENO" 5;;
1493410811 esac
1493510812 case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
14936 ac_file_inputs="$ac_file_inputs '$ac_f'"
10813 as_fn_append ac_file_inputs " '$ac_f'"
1493710814 done
1493810815
1493910816 # Let's still pretend it is `configure' which instantiates (i.e., don't
1494410821 `' by configure.'
1494510822 if test x"$ac_file" != x-; then
1494610823 configure_input="$ac_file. $configure_input"
14947 { $as_echo "$as_me:$LINENO: creating $ac_file" >&5
10824 { $as_echo "$as_me:${as_lineno-$LINENO}: creating $ac_file" >&5
1494810825 $as_echo "$as_me: creating $ac_file" >&6;}
1494910826 fi
1495010827 # Neutralize special characters interpreted by sed in replacement strings.
1495610833 esac
1495710834
1495810835 case $ac_tag in
14959 *:-:* | *:-) cat >"$tmp/stdin" \
14960 || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
14961 $as_echo "$as_me: error: could not create $ac_file" >&2;}
14962 { (exit 1); exit 1; }; } ;;
10836 *:-:* | *:-) cat >"$ac_tmp/stdin" \
10837 || as_fn_error $? "could not create $ac_file" "$LINENO" 5 ;;
1496310838 esac
1496410839 ;;
1496510840 esac
1498710862 q
1498810863 }
1498910864 s/.*/./; q'`
14990 { as_dir="$ac_dir"
14991 case $as_dir in #(
14992 -*) as_dir=./$as_dir;;
14993 esac
14994 test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
14995 as_dirs=
14996 while :; do
14997 case $as_dir in #(
14998 *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
14999 *) as_qdir=$as_dir;;
15000 esac
15001 as_dirs="'$as_qdir' $as_dirs"
15002 as_dir=`$as_dirname -- "$as_dir" ||
15003 $as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
15004 X"$as_dir" : 'X\(//\)[^/]' \| \
15005 X"$as_dir" : 'X\(//\)$' \| \
15006 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
15007 $as_echo X"$as_dir" |
15008 sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
15009 s//\1/
15010 q
15011 }
15012 /^X\(\/\/\)[^/].*/{
15013 s//\1/
15014 q
15015 }
15016 /^X\(\/\/\)$/{
15017 s//\1/
15018 q
15019 }
15020 /^X\(\/\).*/{
15021 s//\1/
15022 q
15023 }
15024 s/.*/./; q'`
15025 test -d "$as_dir" && break
15026 done
15027 test -z "$as_dirs" || eval "mkdir $as_dirs"
15028 } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
15029 $as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
15030 { (exit 1); exit 1; }; }; }
10865 as_dir="$ac_dir"; as_fn_mkdir_p
1503110866 ac_builddir=.
1503210867
1503310868 case "$ac_dir" in
1508410919 # If the template does not know about datarootdir, expand it.
1508510920 # FIXME: This hack should be removed a few years after 2.60.
1508610921 ac_datarootdir_hack=; ac_datarootdir_seen=
15087
1508810922 ac_sed_dataroot='
1508910923 /datarootdir/ {
1509010924 p
1509410928 /@docdir@/p
1509510929 /@infodir@/p
1509610930 /@localedir@/p
15097 /@mandir@/p
15098 '
10931 /@mandir@/p'
1509910932 case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
1510010933 *datarootdir*) ac_datarootdir_seen=yes;;
1510110934 *@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
15102 { $as_echo "$as_me:$LINENO: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
10935 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
1510310936 $as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
1510410937 _ACEOF
1510510938 cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
1510910942 s&@infodir@&$infodir&g
1511010943 s&@localedir@&$localedir&g
1511110944 s&@mandir@&$mandir&g
15112 s&\\\${datarootdir}&$datarootdir&g' ;;
10945 s&\\\${datarootdir}&$datarootdir&g' ;;
1511310946 esac
1511410947 _ACEOF
1511510948
1513710970 s&@MKDIR_P@&$ac_MKDIR_P&;t t
1513810971 $ac_datarootdir_hack
1513910972 "
15140 eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$tmp/subs.awk" >$tmp/out \
15141 || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
15142 $as_echo "$as_me: error: could not create $ac_file" >&2;}
15143 { (exit 1); exit 1; }; }
10973 eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$ac_tmp/subs.awk" \
10974 >$ac_tmp/out || as_fn_error $? "could not create $ac_file" "$LINENO" 5
1514410975
1514510976 test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
15146 { ac_out=`sed -n '/\${datarootdir}/p' "$tmp/out"`; test -n "$ac_out"; } &&
15147 { ac_out=`sed -n '/^[ ]*datarootdir[ ]*:*=/p' "$tmp/out"`; test -z "$ac_out"; } &&
15148 { $as_echo "$as_me:$LINENO: WARNING: $ac_file contains a reference to the variable \`datarootdir'
15149 which seems to be undefined. Please make sure it is defined." >&5
10977 { ac_out=`sed -n '/\${datarootdir}/p' "$ac_tmp/out"`; test -n "$ac_out"; } &&
10978 { ac_out=`sed -n '/^[ ]*datarootdir[ ]*:*=/p' \
10979 "$ac_tmp/out"`; test -z "$ac_out"; } &&
10980 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: $ac_file contains a reference to the variable \`datarootdir'
10981 which seems to be undefined. Please make sure it is defined" >&5
1515010982 $as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
15151 which seems to be undefined. Please make sure it is defined." >&2;}
15152
15153 rm -f "$tmp/stdin"
10983 which seems to be undefined. Please make sure it is defined" >&2;}
10984
10985 rm -f "$ac_tmp/stdin"
1515410986 case $ac_file in
15155 -) cat "$tmp/out" && rm -f "$tmp/out";;
15156 *) rm -f "$ac_file" && mv "$tmp/out" "$ac_file";;
10987 -) cat "$ac_tmp/out" && rm -f "$ac_tmp/out";;
10988 *) rm -f "$ac_file" && mv "$ac_tmp/out" "$ac_file";;
1515710989 esac \
15158 || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
15159 $as_echo "$as_me: error: could not create $ac_file" >&2;}
15160 { (exit 1); exit 1; }; }
10990 || as_fn_error $? "could not create $ac_file" "$LINENO" 5
1516110991 ;;
1516210992 :H)
1516310993 #
1516610996 if test x"$ac_file" != x-; then
1516710997 {
1516810998 $as_echo "/* $configure_input */" \
15169 && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs"
15170 } >"$tmp/config.h" \
15171 || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
15172 $as_echo "$as_me: error: could not create $ac_file" >&2;}
15173 { (exit 1); exit 1; }; }
15174 if diff "$ac_file" "$tmp/config.h" >/dev/null 2>&1; then
15175 { $as_echo "$as_me:$LINENO: $ac_file is unchanged" >&5
10999 && eval '$AWK -f "$ac_tmp/defines.awk"' "$ac_file_inputs"
11000 } >"$ac_tmp/config.h" \
11001 || as_fn_error $? "could not create $ac_file" "$LINENO" 5
11002 if diff "$ac_file" "$ac_tmp/config.h" >/dev/null 2>&1; then
11003 { $as_echo "$as_me:${as_lineno-$LINENO}: $ac_file is unchanged" >&5
1517611004 $as_echo "$as_me: $ac_file is unchanged" >&6;}
1517711005 else
1517811006 rm -f "$ac_file"
15179 mv "$tmp/config.h" "$ac_file" \
15180 || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
15181 $as_echo "$as_me: error: could not create $ac_file" >&2;}
15182 { (exit 1); exit 1; }; }
11007 mv "$ac_tmp/config.h" "$ac_file" \
11008 || as_fn_error $? "could not create $ac_file" "$LINENO" 5
1518311009 fi
1518411010 else
1518511011 $as_echo "/* $configure_input */" \
15186 && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs" \
15187 || { { $as_echo "$as_me:$LINENO: error: could not create -" >&5
15188 $as_echo "$as_me: error: could not create -" >&2;}
15189 { (exit 1); exit 1; }; }
11012 && eval '$AWK -f "$ac_tmp/defines.awk"' "$ac_file_inputs" \
11013 || as_fn_error $? "could not create -" "$LINENO" 5
1519011014 fi
1519111015 # Compute "$ac_file"'s index in $config_headers.
1519211016 _am_arg="$ac_file"
1522411048 s/.*/./; q'`/stamp-h$_am_stamp_count
1522511049 ;;
1522611050
15227 :C) { $as_echo "$as_me:$LINENO: executing $ac_file commands" >&5
11051 :C) { $as_echo "$as_me:${as_lineno-$LINENO}: executing $ac_file commands" >&5
1522811052 $as_echo "$as_me: executing $ac_file commands" >&6;}
1522911053 ;;
1523011054 esac
1523211056
1523311057 case $ac_file$ac_mode in
1523411058 "depfiles":C) test x"$AMDEP_TRUE" != x"" || {
15235 # Autoconf 2.62 quotes --file arguments for eval, but not when files
11059 # Older Autoconf quotes --file arguments for eval, but not when files
1523611060 # are listed without --file. Let's play safe and only enable the eval
1523711061 # if we detect the quoting.
1523811062 case $CONFIG_FILES in
1524511069 # Strip MF so we end up with the name of the file.
1524611070 mf=`echo "$mf" | sed -e 's/:.*$//'`
1524711071 # Check whether this is an Automake generated Makefile or not.
15248 # We used to match only the files named `Makefile.in', but
11072 # We used to match only the files named 'Makefile.in', but
1524911073 # some people rename them; so instead we look at the file content.
1525011074 # Grep'ing the first line is not enough: some people post-process
1525111075 # each Makefile.in and add a new line on top of each file to say so.
1527911103 continue
1528011104 fi
1528111105 # Extract the definition of DEPDIR, am__include, and am__quote
15282 # from the Makefile without running `make'.
11106 # from the Makefile without running 'make'.
1528311107 DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
1528411108 test -z "$DEPDIR" && continue
1528511109 am__include=`sed -n 's/^am__include = //p' < "$mf"`
1528611110 test -z "am__include" && continue
1528711111 am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
15288 # When using ansi2knr, U may be empty or an underscore; expand it
15289 U=`sed -n 's/^U = //p' < "$mf"`
1529011112 # Find all dependency output files, they are included files with
1529111113 # $(DEPDIR) in their names. We invoke sed twice because it is the
1529211114 # simplest approach to changing $(DEPDIR) to its actual value in the
1529311115 # expansion.
1529411116 for file in `sed -n "
1529511117 s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
15296 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
11118 sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g'`; do
1529711119 # Make sure the directory exists.
1529811120 test -f "$dirpart/$file" && continue
1529911121 fdir=`$as_dirname -- "$file" ||
1531911141 q
1532011142 }
1532111143 s/.*/./; q'`
15322 { as_dir=$dirpart/$fdir
15323 case $as_dir in #(
15324 -*) as_dir=./$as_dir;;
15325 esac
15326 test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
15327 as_dirs=
15328 while :; do
15329 case $as_dir in #(
15330 *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
15331 *) as_qdir=$as_dir;;
15332 esac
15333 as_dirs="'$as_qdir' $as_dirs"
15334 as_dir=`$as_dirname -- "$as_dir" ||
15335 $as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
15336 X"$as_dir" : 'X\(//\)[^/]' \| \
15337 X"$as_dir" : 'X\(//\)$' \| \
15338 X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
15339 $as_echo X"$as_dir" |
15340 sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
15341 s//\1/
15342 q
15343 }
15344 /^X\(\/\/\)[^/].*/{
15345 s//\1/
15346 q
15347 }
15348 /^X\(\/\/\)$/{
15349 s//\1/
15350 q
15351 }
15352 /^X\(\/\).*/{
15353 s//\1/
15354 q
15355 }
15356 s/.*/./; q'`
15357 test -d "$as_dir" && break
15358 done
15359 test -z "$as_dirs" || eval "mkdir $as_dirs"
15360 } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
15361 $as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
15362 { (exit 1); exit 1; }; }; }
11144 as_dir=$dirpart/$fdir; as_fn_mkdir_p
1536311145 # echo "creating $dirpart/$file"
1536411146 echo '# dummy' > "$dirpart/$file"
1536511147 done
1537111153 done # for ac_tag
1537211154
1537311155
15374 { (exit 0); exit 0; }
15375 _ACEOF
15376 chmod +x $CONFIG_STATUS
11156 as_fn_exit 0
11157 _ACEOF
1537711158 ac_clean_files=$ac_clean_files_save
1537811159
1537911160 test $ac_write_fail = 0 ||
15380 { { $as_echo "$as_me:$LINENO: error: write failure creating $CONFIG_STATUS" >&5
15381 $as_echo "$as_me: error: write failure creating $CONFIG_STATUS" >&2;}
15382 { (exit 1); exit 1; }; }
11161 as_fn_error $? "write failure creating $CONFIG_STATUS" "$LINENO" 5
1538311162
1538411163
1538511164 # configure is writing to config.log, and then calls config.status.
1540011179 exec 5>>config.log
1540111180 # Use ||, not &&, to avoid exiting from the if with $? = 1, which
1540211181 # would make configure fail if this is the last instruction.
15403 $ac_cs_success || { (exit 1); exit 1; }
11182 $ac_cs_success || as_fn_exit 1
1540411183 fi
1540511184 if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
15406 { $as_echo "$as_me:$LINENO: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
11185 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
1540711186 $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
1540811187 fi
1540911188
11 # Process this file with autoconf to produce a configure script.
22
33 AC_PREREQ(2.59)
4 AC_INIT(gtkwave, 3.3.45, bybell@rocketmail.com)
4 AC_INIT(gtkwave, 3.3.46, bybell@rocketmail.com)
55 AC_CONFIG_SRCDIR([src/vcd.c])
66 AM_INIT_AUTOMAKE
77 AC_CONFIG_HEADER([config.h])
324324 AC_PROG_MAKE_SET
325325 AC_PROG_LEX
326326 AC_PROG_RANLIB
327 AM_PROG_CC_C_O
327328
328329 #
329330 # only needed if user wishes to process various files with an external reader
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
77 <key>CFBundleExecutable</key>
88 <string>gtkwave</string>
99 <key>CFBundleGetInfoString</key>
10 <string>3.3.45, (C) 1999-2013 Tony Bybell http://gtkwave.sourceforge.net</string>
10 <string>3.3.46, (C) 1999-2013 Tony Bybell http://gtkwave.sourceforge.net</string>
1111 <key>CFBundleIconFile</key>
1212 <string>gtkwave.icns</string>
1313 <key>CFBundleIdentifier</key>
1717 <key>CFBundlePackageType</key>
1818 <string>APPL</string>
1919 <key>CFBundleShortVersionString</key>
20 <string>3.3.45</string>
20 <string>3.3.46</string>
2121 <key>CFBundleSignature</key>
2222 <string>????</string>
2323 <key>CFBundleVersion</key>
24 <string>3.3.45</string>
24 <string>3.3.46</string>
2525 <key>NSHumanReadableCopyright</key>
2626 <string>Copyright 1999 - 2013 Tony Bybell, GNU General Public License.</string>
2727 <key>LSMinimumSystemVersion</key>
229229 <data dest="${bundle}/Contents/Resources/LICENSE.TXT">
230230 ${project}/../../LICENSE.TXT
231231 </data>
232 <data dest="${bundle}/Contents/Resources/GNU.TXT">
233 ${project}/../../GNU.TXT
232 <data dest="${bundle}/Contents/Resources/COPYING">
233 ${project}/../../COPYING
234234 </data>
235235
236236
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/IBM_VISUAL_AGE_PROJECTS
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
214250 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
215251 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
216252 $(am__aclocal_m4_deps):
217 tags: TAGS
218 TAGS:
219
220 ctags: CTAGS
221 CTAGS:
253 tags TAGS:
254
255 ctags CTAGS:
256
257 cscope cscopelist:
222258
223259
224260 distdir: $(DISTFILES)
265301
266302 installcheck: installcheck-am
267303 install-strip:
268 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
269 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
270 `test -z '$(STRIP)' || \
271 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
304 if test -z '$(STRIP)'; then \
305 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
306 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
307 install; \
308 else \
309 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
310 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
311 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
312 fi
272313 mostlyclean-generic:
273314
274315 clean-generic:
348389
349390 .MAKE: install-am install-strip
350391
351 .PHONY: all all-am check check-am clean clean-generic distclean \
352 distclean-generic distdir dvi dvi-am html html-am info info-am \
353 install install-am install-data install-data-am install-dvi \
354 install-dvi-am install-exec install-exec-am install-html \
355 install-html-am install-info install-info-am install-man \
356 install-pdf install-pdf-am install-ps install-ps-am \
357 install-strip installcheck installcheck-am installdirs \
358 maintainer-clean maintainer-clean-generic mostlyclean \
359 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
392 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
393 ctags-am distclean distclean-generic distdir dvi dvi-am html \
394 html-am info info-am install install-am install-data \
395 install-data-am install-dvi install-dvi-am install-exec \
396 install-exec-am install-html install-html-am install-info \
397 install-info-am install-man install-pdf install-pdf-am \
398 install-ps install-ps-am install-strip installcheck \
399 installcheck-am installdirs maintainer-clean \
400 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
401 pdf-am ps ps-am tags-am uninstall uninstall-am
360402
361403
362404 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts
34 DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am README
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
264320 $(am__aclocal_m4_deps):
265321
266322 # This directory's subdirectories are mostly independent; you can cd
267 # into them and run `make' without going through this Makefile.
268 # To change the values of `make' variables: instead of editing Makefiles,
269 # (1) if the variable is set in `config.status', edit `config.status'
270 # (which will cause the Makefiles to be regenerated when you run `make');
271 # (2) otherwise, pass the desired values on the `make' command line.
272 $(RECURSIVE_TARGETS):
323 # into them and run 'make' without going through this Makefile.
324 # To change the values of 'make' variables: instead of editing Makefiles,
325 # (1) if the variable is set in 'config.status', edit 'config.status'
326 # (which will cause the Makefiles to be regenerated when you run 'make');
327 # (2) otherwise, pass the desired values on the 'make' command line.
328 $(am__recursive_targets):
273329 @fail= failcom='exit 1'; \
274330 for f in x $$MAKEFLAGS; do \
275331 case $$f in \
279335 done; \
280336 dot_seen=no; \
281337 target=`echo $@ | sed s/-recursive//`; \
282 list='$(SUBDIRS)'; for subdir in $$list; do \
338 case "$@" in \
339 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
340 *) list='$(SUBDIRS)' ;; \
341 esac; \
342 for subdir in $$list; do \
283343 echo "Making $$target in $$subdir"; \
284344 if test "$$subdir" = "."; then \
285345 dot_seen=yes; \
294354 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
295355 fi; test -z "$$fail"
296356
297 $(RECURSIVE_CLEAN_TARGETS):
298 @fail= failcom='exit 1'; \
299 for f in x $$MAKEFLAGS; do \
300 case $$f in \
301 *=* | --[!k]*);; \
302 *k*) failcom='fail=yes';; \
303 esac; \
304 done; \
305 dot_seen=no; \
306 case "$@" in \
307 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
308 *) list='$(SUBDIRS)' ;; \
309 esac; \
310 rev=''; for subdir in $$list; do \
311 if test "$$subdir" = "."; then :; else \
312 rev="$$subdir $$rev"; \
313 fi; \
314 done; \
315 rev="$$rev ."; \
316 target=`echo $@ | sed s/-recursive//`; \
317 for subdir in $$rev; do \
318 echo "Making $$target in $$subdir"; \
319 if test "$$subdir" = "."; then \
320 local_target="$$target-am"; \
321 else \
322 local_target="$$target"; \
323 fi; \
324 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
325 || eval $$failcom; \
326 done && test -z "$$fail"
327 tags-recursive:
328 list='$(SUBDIRS)'; for subdir in $$list; do \
329 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
330 done
331 ctags-recursive:
332 list='$(SUBDIRS)'; for subdir in $$list; do \
333 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
334 done
335
336 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
337 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
338 unique=`for i in $$list; do \
339 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
340 done | \
341 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
342 END { if (nonempty) { for (i in files) print i; }; }'`; \
343 mkid -fID $$unique
344 tags: TAGS
345
346 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
347 $(TAGS_FILES) $(LISP)
357 ID: $(am__tagged_files)
358 $(am__define_uniq_tagged_files); mkid -fID $$unique
359 tags: tags-recursive
360 TAGS: tags
361
362 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
348363 set x; \
349364 here=`pwd`; \
350365 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
360375 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
361376 fi; \
362377 done; \
363 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
364 unique=`for i in $$list; do \
365 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
366 done | \
367 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
368 END { if (nonempty) { for (i in files) print i; }; }'`; \
378 $(am__define_uniq_tagged_files); \
369379 shift; \
370380 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
371381 test -n "$$unique" || unique=$$empty_fix; \
377387 $$unique; \
378388 fi; \
379389 fi
380 ctags: CTAGS
381 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
382 $(TAGS_FILES) $(LISP)
383 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
384 unique=`for i in $$list; do \
385 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
386 done | \
387 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
388 END { if (nonempty) { for (i in files) print i; }; }'`; \
390 ctags: ctags-recursive
391
392 CTAGS: ctags
393 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
394 $(am__define_uniq_tagged_files); \
389395 test -z "$(CTAGS_ARGS)$$unique" \
390396 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
391397 $$unique
394400 here=`$(am__cd) $(top_builddir) && pwd` \
395401 && $(am__cd) $(top_srcdir) \
396402 && gtags -i $(GTAGS_ARGS) "$$here"
403 cscopelist: cscopelist-recursive
404
405 cscopelist-am: $(am__tagged_files)
406 list='$(am__tagged_files)'; \
407 case "$(srcdir)" in \
408 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
409 *) sdir=$(subdir)/$(srcdir) ;; \
410 esac; \
411 for i in $$list; do \
412 if test -f "$$i"; then \
413 echo "$(subdir)/$$i"; \
414 else \
415 echo "$$sdir/$$i"; \
416 fi; \
417 done >> $(top_builddir)/cscope.files
397418
398419 distclean-tags:
399420 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
430451 done
431452 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
432453 if test "$$subdir" = .; then :; else \
433 test -d "$(distdir)/$$subdir" \
434 || $(MKDIR_P) "$(distdir)/$$subdir" \
435 || exit 1; \
436 fi; \
437 done
438 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
439 if test "$$subdir" = .; then :; else \
454 $(am__make_dryrun) \
455 || test -d "$(distdir)/$$subdir" \
456 || $(MKDIR_P) "$(distdir)/$$subdir" \
457 || exit 1; \
440458 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
441459 $(am__relativize); \
442460 new_distdir=$$reldir; \
471489
472490 installcheck: installcheck-recursive
473491 install-strip:
474 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
475 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
476 `test -z '$(STRIP)' || \
477 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
492 if test -z '$(STRIP)'; then \
493 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
494 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
495 install; \
496 else \
497 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
498 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
499 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
500 fi
478501 mostlyclean-generic:
479502
480503 clean-generic:
552575
553576 uninstall-am:
554577
555 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
556 install-am install-strip tags-recursive
557
558 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
559 all all-am check check-am clean clean-generic ctags \
560 ctags-recursive distclean distclean-generic distclean-tags \
561 distdir dvi dvi-am html html-am info info-am install \
562 install-am install-data install-data-am install-dvi \
563 install-dvi-am install-exec install-exec-am install-html \
564 install-html-am install-info install-info-am install-man \
565 install-pdf install-pdf-am install-ps install-ps-am \
566 install-strip installcheck installcheck-am installdirs \
567 installdirs-am maintainer-clean maintainer-clean-generic \
568 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
569 tags-recursive uninstall uninstall-am
578 .MAKE: $(am__recursive_targets) install-am install-strip
579
580 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
581 check-am clean clean-generic cscopelist-am ctags ctags-am \
582 distclean distclean-generic distclean-tags distdir dvi dvi-am \
583 html html-am info info-am install install-am install-data \
584 install-data-am install-dvi install-dvi-am install-exec \
585 install-exec-am install-html install-html-am install-info \
586 install-info-am install-man install-pdf install-pdf-am \
587 install-ps install-ps-am install-strip installcheck \
588 installcheck-am installdirs installdirs-am maintainer-clean \
589 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
590 pdf-am ps ps-am tags tags-am uninstall uninstall-am
570591
571592
572593 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 noinst_PROGRAMS = antlr$(EXEEXT)
3551 subdir = contrib/pccts/antlr
36 DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp README
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
5067 mrhoist.$(OBJEXT) pred.$(OBJEXT) scan.$(OBJEXT) set.$(OBJEXT)
5168 antlr_OBJECTS = $(am_antlr_OBJECTS)
5269 antlr_LDADD = $(LDADD)
70 AM_V_P = $(am__v_P_@AM_V@)
71 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
72 am__v_P_0 = false
73 am__v_P_1 = :
74 AM_V_GEN = $(am__v_GEN_@AM_V@)
75 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
76 am__v_GEN_0 = @echo " GEN " $@;
77 am__v_GEN_1 =
78 AM_V_at = $(am__v_at_@AM_V@)
79 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
80 am__v_at_0 = @
81 am__v_at_1 =
5382 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5483 depcomp = $(SHELL) $(top_srcdir)/depcomp
5584 am__depfiles_maybe = depfiles
5685 am__mv = mv -f
86 AM_V_lt = $(am__v_lt_@AM_V@)
87 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
88 am__v_lt_0 = --silent
89 am__v_lt_1 =
5790 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5891 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
92 AM_V_CC = $(am__v_CC_@AM_V@)
93 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
94 am__v_CC_0 = @echo " CC " $@;
95 am__v_CC_1 =
5996 CCLD = $(CC)
6097 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
98 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
99 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
100 am__v_CCLD_0 = @echo " CCLD " $@;
101 am__v_CCLD_1 =
61102 SOURCES = $(antlr_SOURCES)
62103 DIST_SOURCES = $(antlr_SOURCES)
104 am__can_run_installinfo = \
105 case $$AM_UPDATE_INFO_DIR in \
106 n|no|NO) false;; \
107 *) (install-info --version) >/dev/null 2>&1;; \
108 esac
109 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
110 # Read a list of newline-separated strings from the standard input,
111 # and print each of them once, without duplicates. Input order is
112 # *not* preserved.
113 am__uniquify_input = $(AWK) '\
114 BEGIN { nonempty = 0; } \
115 { items[$$0] = 1; nonempty = 1; } \
116 END { if (nonempty) { for (i in items) print i; }; } \
117 '
118 # Make sure the list of sources is unique. This is necessary because,
119 # e.g., the same source file might be shared among _SOURCES variables
120 # for different programs/libraries.
121 am__define_uniq_tagged_files = \
122 list='$(am__tagged_files)'; \
123 unique=`for i in $$list; do \
124 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
125 done | $(am__uniquify_input)`
63126 ETAGS = etags
64127 CTAGS = ctags
65128 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
68131 AET2_LDADD = @AET2_LDADD@
69132 ALLOCA = @ALLOCA@
70133 AMTAR = @AMTAR@
134 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
71135 AUTOCONF = @AUTOCONF@
72136 AUTOHEADER = @AUTOHEADER@
73137 AUTOMAKE = @AUTOMAKE@
136200 PACKAGE_NAME = @PACKAGE_NAME@
137201 PACKAGE_STRING = @PACKAGE_STRING@
138202 PACKAGE_TARNAME = @PACKAGE_TARNAME@
203 PACKAGE_URL = @PACKAGE_URL@
139204 PACKAGE_VERSION = @PACKAGE_VERSION@
140205 PATH_SEPARATOR = @PATH_SEPARATOR@
141206 PKG_CONFIG = @PKG_CONFIG@
268333
269334 clean-noinstPROGRAMS:
270335 -test -z "$(noinst_PROGRAMS)" || rm -f $(noinst_PROGRAMS)
271 antlr$(EXEEXT): $(antlr_OBJECTS) $(antlr_DEPENDENCIES)
336 antlr$(EXEEXT): $(antlr_OBJECTS) $(antlr_DEPENDENCIES) $(EXTRA_antlr_DEPENDENCIES)
272337 @rm -f antlr$(EXEEXT)
273 $(LINK) $(antlr_OBJECTS) $(antlr_LDADD) $(LIBS)
338 $(AM_V_CCLD)$(LINK) $(antlr_OBJECTS) $(antlr_LDADD) $(LIBS)
274339
275340 mostlyclean-compile:
276341 -rm -f *.$(OBJEXT)
298363 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/set.Po@am__quote@
299364
300365 .c.o:
301 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
302 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
303 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
366 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
367 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
368 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
304369 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
305 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
370 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
306371
307372 .c.obj:
308 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
309 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
310 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
373 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
374 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
375 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
311376 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
312 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
377 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
313378
314379 set.o: $(srcdir)/../support/set/set.c
315 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.o -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
316 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
317 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../support/set/set.c' object='set.o' libtool=no @AMDEPBACKSLASH@
380 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.o -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
381 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
382 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../support/set/set.c' object='set.o' libtool=no @AMDEPBACKSLASH@
318383 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
319 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
384 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
320385
321386 set.obj: $(srcdir)/../support/set/set.c
322 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.obj -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
323 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
324 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../support/set/set.c' object='set.obj' libtool=no @AMDEPBACKSLASH@
387 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.obj -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
388 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
389 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../support/set/set.c' object='set.obj' libtool=no @AMDEPBACKSLASH@
325390 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
326 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
391 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
392
393 ID: $(am__tagged_files)
394 $(am__define_uniq_tagged_files); mkid -fID $$unique
395 tags: tags-am
396 TAGS: tags
397
398 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340399 set x; \
341400 here=`pwd`; \
342 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
343 unique=`for i in $$list; do \
344 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
345 done | \
346 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
347 END { if (nonempty) { for (i in files) print i; }; }'`; \
401 $(am__define_uniq_tagged_files); \
348402 shift; \
349403 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
350404 test -n "$$unique" || unique=$$empty_fix; \
356410 $$unique; \
357411 fi; \
358412 fi
359 ctags: CTAGS
360 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
361 $(TAGS_FILES) $(LISP)
362 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
363 unique=`for i in $$list; do \
364 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
365 done | \
366 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
367 END { if (nonempty) { for (i in files) print i; }; }'`; \
413 ctags: ctags-am
414
415 CTAGS: ctags
416 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
417 $(am__define_uniq_tagged_files); \
368418 test -z "$(CTAGS_ARGS)$$unique" \
369419 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
370420 $$unique
373423 here=`$(am__cd) $(top_builddir) && pwd` \
374424 && $(am__cd) $(top_srcdir) \
375425 && gtags -i $(GTAGS_ARGS) "$$here"
426 cscopelist: cscopelist-am
427
428 cscopelist-am: $(am__tagged_files)
429 list='$(am__tagged_files)'; \
430 case "$(srcdir)" in \
431 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
432 *) sdir=$(subdir)/$(srcdir) ;; \
433 esac; \
434 for i in $$list; do \
435 if test -f "$$i"; then \
436 echo "$(subdir)/$$i"; \
437 else \
438 echo "$$sdir/$$i"; \
439 fi; \
440 done >> $(top_builddir)/cscope.files
376441
377442 distclean-tags:
378443 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
421486
422487 installcheck: installcheck-am
423488 install-strip:
424 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
425 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
426 `test -z '$(STRIP)' || \
427 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
489 if test -z '$(STRIP)'; then \
490 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
491 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
492 install; \
493 else \
494 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
495 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
496 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
497 fi
428498 mostlyclean-generic:
429499
430500 clean-generic:
507577
508578 .MAKE: install-am install-strip
509579
510 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
511 clean-noinstPROGRAMS ctags distclean distclean-compile \
512 distclean-generic distclean-tags distdir dvi dvi-am html \
513 html-am info info-am install install-am install-data \
514 install-data-am install-dvi install-dvi-am install-exec \
515 install-exec-am install-html install-html-am install-info \
516 install-info-am install-man install-pdf install-pdf-am \
517 install-ps install-ps-am install-strip installcheck \
518 installcheck-am installdirs maintainer-clean \
580 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
581 clean-noinstPROGRAMS cscopelist-am ctags ctags-am distclean \
582 distclean-compile distclean-generic distclean-tags distdir dvi \
583 dvi-am html html-am info info-am install install-am \
584 install-data install-data-am install-dvi install-dvi-am \
585 install-exec install-exec-am install-html install-html-am \
586 install-info install-info-am install-man install-pdf \
587 install-pdf-am install-ps install-ps-am install-strip \
588 installcheck installcheck-am installdirs maintainer-clean \
519589 maintainer-clean-generic mostlyclean mostlyclean-compile \
520 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
590 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
521591 uninstall-am
522592
523593 # Don't worry about the ambiguity messages coming from antlr
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 noinst_PROGRAMS = dlg$(EXEEXT)
3551 subdir = contrib/pccts/dlg
36 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4865 relabel.$(OBJEXT) set.$(OBJEXT) support.$(OBJEXT)
4966 dlg_OBJECTS = $(am_dlg_OBJECTS)
5067 dlg_LDADD = $(LDADD)
68 AM_V_P = $(am__v_P_@AM_V@)
69 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
70 am__v_P_0 = false
71 am__v_P_1 = :
72 AM_V_GEN = $(am__v_GEN_@AM_V@)
73 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
74 am__v_GEN_0 = @echo " GEN " $@;
75 am__v_GEN_1 =
76 AM_V_at = $(am__v_at_@AM_V@)
77 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
78 am__v_at_0 = @
79 am__v_at_1 =
5180 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5281 depcomp = $(SHELL) $(top_srcdir)/depcomp
5382 am__depfiles_maybe = depfiles
5483 am__mv = mv -f
84 AM_V_lt = $(am__v_lt_@AM_V@)
85 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
86 am__v_lt_0 = --silent
87 am__v_lt_1 =
5588 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5689 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
90 AM_V_CC = $(am__v_CC_@AM_V@)
91 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
92 am__v_CC_0 = @echo " CC " $@;
93 am__v_CC_1 =
5794 CCLD = $(CC)
5895 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
96 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
97 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
98 am__v_CCLD_0 = @echo " CCLD " $@;
99 am__v_CCLD_1 =
59100 SOURCES = $(dlg_SOURCES)
60101 DIST_SOURCES = $(dlg_SOURCES)
102 am__can_run_installinfo = \
103 case $$AM_UPDATE_INFO_DIR in \
104 n|no|NO) false;; \
105 *) (install-info --version) >/dev/null 2>&1;; \
106 esac
107 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
108 # Read a list of newline-separated strings from the standard input,
109 # and print each of them once, without duplicates. Input order is
110 # *not* preserved.
111 am__uniquify_input = $(AWK) '\
112 BEGIN { nonempty = 0; } \
113 { items[$$0] = 1; nonempty = 1; } \
114 END { if (nonempty) { for (i in items) print i; }; } \
115 '
116 # Make sure the list of sources is unique. This is necessary because,
117 # e.g., the same source file might be shared among _SOURCES variables
118 # for different programs/libraries.
119 am__define_uniq_tagged_files = \
120 list='$(am__tagged_files)'; \
121 unique=`for i in $$list; do \
122 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
123 done | $(am__uniquify_input)`
61124 ETAGS = etags
62125 CTAGS = ctags
63126 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
66129 AET2_LDADD = @AET2_LDADD@
67130 ALLOCA = @ALLOCA@
68131 AMTAR = @AMTAR@
132 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
69133 AUTOCONF = @AUTOCONF@
70134 AUTOHEADER = @AUTOHEADER@
71135 AUTOMAKE = @AUTOMAKE@
134198 PACKAGE_NAME = @PACKAGE_NAME@
135199 PACKAGE_STRING = @PACKAGE_STRING@
136200 PACKAGE_TARNAME = @PACKAGE_TARNAME@
201 PACKAGE_URL = @PACKAGE_URL@
137202 PACKAGE_VERSION = @PACKAGE_VERSION@
138203 PATH_SEPARATOR = @PATH_SEPARATOR@
139204 PKG_CONFIG = @PKG_CONFIG@
253318
254319 clean-noinstPROGRAMS:
255320 -test -z "$(noinst_PROGRAMS)" || rm -f $(noinst_PROGRAMS)
256 dlg$(EXEEXT): $(dlg_OBJECTS) $(dlg_DEPENDENCIES)
321 dlg$(EXEEXT): $(dlg_OBJECTS) $(dlg_DEPENDENCIES) $(EXTRA_dlg_DEPENDENCIES)
257322 @rm -f dlg$(EXEEXT)
258 $(LINK) $(dlg_OBJECTS) $(dlg_LDADD) $(LIBS)
323 $(AM_V_CCLD)$(LINK) $(dlg_OBJECTS) $(dlg_LDADD) $(LIBS)
259324
260325 mostlyclean-compile:
261326 -rm -f *.$(OBJEXT)
274339 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/support.Po@am__quote@
275340
276341 .c.o:
277 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
278 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
279 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
342 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
343 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
344 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
280345 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
281 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
346 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
282347
283348 .c.obj:
284 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
285 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
286 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
349 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
350 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
351 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
287352 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
288 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
353 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
289354
290355 set.o: $(srcdir)/../support/set/set.c
291 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.o -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
292 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
293 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../support/set/set.c' object='set.o' libtool=no @AMDEPBACKSLASH@
356 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.o -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
357 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
358 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../support/set/set.c' object='set.o' libtool=no @AMDEPBACKSLASH@
294359 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
295 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
360 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
296361
297362 set.obj: $(srcdir)/../support/set/set.c
298 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.obj -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
299 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
300 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../support/set/set.c' object='set.obj' libtool=no @AMDEPBACKSLASH@
363 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.obj -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
364 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
365 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../support/set/set.c' object='set.obj' libtool=no @AMDEPBACKSLASH@
301366 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
302 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
303
304 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
305 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
306 unique=`for i in $$list; do \
307 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
308 done | \
309 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
310 END { if (nonempty) { for (i in files) print i; }; }'`; \
311 mkid -fID $$unique
312 tags: TAGS
313
314 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
315 $(TAGS_FILES) $(LISP)
367 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
368
369 ID: $(am__tagged_files)
370 $(am__define_uniq_tagged_files); mkid -fID $$unique
371 tags: tags-am
372 TAGS: tags
373
374 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
316375 set x; \
317376 here=`pwd`; \
318 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
319 unique=`for i in $$list; do \
320 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
321 done | \
322 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
323 END { if (nonempty) { for (i in files) print i; }; }'`; \
377 $(am__define_uniq_tagged_files); \
324378 shift; \
325379 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
326380 test -n "$$unique" || unique=$$empty_fix; \
332386 $$unique; \
333387 fi; \
334388 fi
335 ctags: CTAGS
336 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
337 $(TAGS_FILES) $(LISP)
338 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
339 unique=`for i in $$list; do \
340 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
341 done | \
342 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
343 END { if (nonempty) { for (i in files) print i; }; }'`; \
389 ctags: ctags-am
390
391 CTAGS: ctags
392 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
393 $(am__define_uniq_tagged_files); \
344394 test -z "$(CTAGS_ARGS)$$unique" \
345395 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
346396 $$unique
349399 here=`$(am__cd) $(top_builddir) && pwd` \
350400 && $(am__cd) $(top_srcdir) \
351401 && gtags -i $(GTAGS_ARGS) "$$here"
402 cscopelist: cscopelist-am
403
404 cscopelist-am: $(am__tagged_files)
405 list='$(am__tagged_files)'; \
406 case "$(srcdir)" in \
407 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
408 *) sdir=$(subdir)/$(srcdir) ;; \
409 esac; \
410 for i in $$list; do \
411 if test -f "$$i"; then \
412 echo "$(subdir)/$$i"; \
413 else \
414 echo "$$sdir/$$i"; \
415 fi; \
416 done >> $(top_builddir)/cscope.files
352417
353418 distclean-tags:
354419 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
397462
398463 installcheck: installcheck-am
399464 install-strip:
400 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
401 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
402 `test -z '$(STRIP)' || \
403 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
465 if test -z '$(STRIP)'; then \
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 install; \
469 else \
470 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
471 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
472 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
473 fi
404474 mostlyclean-generic:
405475
406476 clean-generic:
483553
484554 .MAKE: install-am install-strip
485555
486 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
487 clean-noinstPROGRAMS ctags distclean distclean-compile \
488 distclean-generic distclean-tags distdir dvi dvi-am html \
489 html-am info info-am install install-am install-data \
490 install-data-am install-dvi install-dvi-am install-exec \
491 install-exec-am install-html install-html-am install-info \
492 install-info-am install-man install-pdf install-pdf-am \
493 install-ps install-ps-am install-strip installcheck \
494 installcheck-am installdirs maintainer-clean \
556 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
557 clean-noinstPROGRAMS cscopelist-am ctags ctags-am distclean \
558 distclean-compile distclean-generic distclean-tags distdir dvi \
559 dvi-am html html-am info info-am install install-am \
560 install-data install-data-am install-dvi install-dvi-am \
561 install-exec install-exec-am install-html install-html-am \
562 install-info install-info-am install-man install-pdf \
563 install-pdf-am install-ps install-ps-am install-strip \
564 installcheck installcheck-am installdirs maintainer-clean \
495565 maintainer-clean-generic mostlyclean mostlyclean-compile \
496 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
566 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
497567 uninstall-am
498568
499569 # Don't worry about the ambiguity messages coming from antlr
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/h
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
224260 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
225261 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
226262 $(am__aclocal_m4_deps):
227 tags: TAGS
228 TAGS:
229
230 ctags: CTAGS
231 CTAGS:
263 tags TAGS:
264
265 ctags CTAGS:
266
267 cscope cscopelist:
232268
233269
234270 distdir: $(DISTFILES)
275311
276312 installcheck: installcheck-am
277313 install-strip:
278 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
279 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
280 `test -z '$(STRIP)' || \
281 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
314 if test -z '$(STRIP)'; then \
315 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
316 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
317 install; \
318 else \
319 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
320 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
321 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
322 fi
282323 mostlyclean-generic:
283324
284325 clean-generic:
358399
359400 .MAKE: install-am install-strip
360401
361 .PHONY: all all-am check check-am clean clean-generic distclean \
362 distclean-generic distdir dvi dvi-am html html-am info info-am \
363 install install-am install-data install-data-am install-dvi \
364 install-dvi-am install-exec install-exec-am install-html \
365 install-html-am install-info install-info-am install-man \
366 install-pdf install-pdf-am install-ps install-ps-am \
367 install-strip installcheck installcheck-am installdirs \
368 maintainer-clean maintainer-clean-generic mostlyclean \
369 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
402 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
403 ctags-am distclean distclean-generic distdir dvi dvi-am html \
404 html-am info info-am install install-am install-data \
405 install-data-am install-dvi install-dvi-am install-exec \
406 install-exec-am install-html install-html-am install-info \
407 install-info-am install-man install-pdf install-pdf-am \
408 install-ps install-ps-am install-strip installcheck \
409 installcheck-am installdirs maintainer-clean \
410 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
411 pdf-am ps ps-am tags-am uninstall uninstall-am
370412
371413
372414 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 noinst_PROGRAMS = sor$(EXEEXT)
3551 subdir = contrib/pccts/sorcerer
36 DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp README
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4865 scan.$(OBJEXT) set.$(OBJEXT) sor.$(OBJEXT)
4966 sor_OBJECTS = $(am_sor_OBJECTS)
5067 sor_LDADD = $(LDADD)
68 AM_V_P = $(am__v_P_@AM_V@)
69 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
70 am__v_P_0 = false
71 am__v_P_1 = :
72 AM_V_GEN = $(am__v_GEN_@AM_V@)
73 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
74 am__v_GEN_0 = @echo " GEN " $@;
75 am__v_GEN_1 =
76 AM_V_at = $(am__v_at_@AM_V@)
77 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
78 am__v_at_0 = @
79 am__v_at_1 =
5180 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5281 depcomp = $(SHELL) $(top_srcdir)/depcomp
5382 am__depfiles_maybe = depfiles
5483 am__mv = mv -f
84 AM_V_lt = $(am__v_lt_@AM_V@)
85 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
86 am__v_lt_0 = --silent
87 am__v_lt_1 =
5588 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5689 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
90 AM_V_CC = $(am__v_CC_@AM_V@)
91 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
92 am__v_CC_0 = @echo " CC " $@;
93 am__v_CC_1 =
5794 CCLD = $(CC)
5895 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
96 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
97 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
98 am__v_CCLD_0 = @echo " CCLD " $@;
99 am__v_CCLD_1 =
59100 SOURCES = $(sor_SOURCES)
60101 DIST_SOURCES = $(sor_SOURCES)
61 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
62 html-recursive info-recursive install-data-recursive \
63 install-dvi-recursive install-exec-recursive \
64 install-html-recursive install-info-recursive \
65 install-pdf-recursive install-ps-recursive install-recursive \
66 installcheck-recursive installdirs-recursive pdf-recursive \
67 ps-recursive uninstall-recursive
102 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
103 ctags-recursive dvi-recursive html-recursive info-recursive \
104 install-data-recursive install-dvi-recursive \
105 install-exec-recursive install-html-recursive \
106 install-info-recursive install-pdf-recursive \
107 install-ps-recursive install-recursive installcheck-recursive \
108 installdirs-recursive pdf-recursive ps-recursive \
109 tags-recursive uninstall-recursive
110 am__can_run_installinfo = \
111 case $$AM_UPDATE_INFO_DIR in \
112 n|no|NO) false;; \
113 *) (install-info --version) >/dev/null 2>&1;; \
114 esac
68115 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
69116 distclean-recursive maintainer-clean-recursive
70 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
71 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
117 am__recursive_targets = \
118 $(RECURSIVE_TARGETS) \
119 $(RECURSIVE_CLEAN_TARGETS) \
120 $(am__extra_recursive_targets)
121 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
72122 distdir
123 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
124 # Read a list of newline-separated strings from the standard input,
125 # and print each of them once, without duplicates. Input order is
126 # *not* preserved.
127 am__uniquify_input = $(AWK) '\
128 BEGIN { nonempty = 0; } \
129 { items[$$0] = 1; nonempty = 1; } \
130 END { if (nonempty) { for (i in items) print i; }; } \
131 '
132 # Make sure the list of sources is unique. This is necessary because,
133 # e.g., the same source file might be shared among _SOURCES variables
134 # for different programs/libraries.
135 am__define_uniq_tagged_files = \
136 list='$(am__tagged_files)'; \
137 unique=`for i in $$list; do \
138 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
139 done | $(am__uniquify_input)`
73140 ETAGS = etags
74141 CTAGS = ctags
75142 DIST_SUBDIRS = $(SUBDIRS)
104171 AET2_LDADD = @AET2_LDADD@
105172 ALLOCA = @ALLOCA@
106173 AMTAR = @AMTAR@
174 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
107175 AUTOCONF = @AUTOCONF@
108176 AUTOHEADER = @AUTOHEADER@
109177 AUTOMAKE = @AUTOMAKE@
172240 PACKAGE_NAME = @PACKAGE_NAME@
173241 PACKAGE_STRING = @PACKAGE_STRING@
174242 PACKAGE_TARNAME = @PACKAGE_TARNAME@
243 PACKAGE_URL = @PACKAGE_URL@
175244 PACKAGE_VERSION = @PACKAGE_VERSION@
176245 PATH_SEPARATOR = @PATH_SEPARATOR@
177246 PKG_CONFIG = @PKG_CONFIG@
304373
305374 clean-noinstPROGRAMS:
306375 -test -z "$(noinst_PROGRAMS)" || rm -f $(noinst_PROGRAMS)
307 sor$(EXEEXT): $(sor_OBJECTS) $(sor_DEPENDENCIES)
376 sor$(EXEEXT): $(sor_OBJECTS) $(sor_DEPENDENCIES) $(EXTRA_sor_DEPENDENCIES)
308377 @rm -f sor$(EXEEXT)
309 $(LINK) $(sor_OBJECTS) $(sor_LDADD) $(LIBS)
378 $(AM_V_CCLD)$(LINK) $(sor_OBJECTS) $(sor_LDADD) $(LIBS)
310379
311380 mostlyclean-compile:
312381 -rm -f *.$(OBJEXT)
326395 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sor.Po@am__quote@
327396
328397 .c.o:
329 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
330 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
331 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
398 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
399 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
400 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
332401 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
333 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
402 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
334403
335404 .c.obj:
336 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
337 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
338 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
405 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
406 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
407 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
339408 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
340 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
409 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
341410
342411 set.o: $(srcdir)/../support/set/set.c
343 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.o -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
344 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
345 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../support/set/set.c' object='set.o' libtool=no @AMDEPBACKSLASH@
412 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.o -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
413 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
414 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../support/set/set.c' object='set.o' libtool=no @AMDEPBACKSLASH@
346415 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
347 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
416 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.o `test -f '$(srcdir)/../support/set/set.c' || echo '$(srcdir)/'`$(srcdir)/../support/set/set.c
348417
349418 set.obj: $(srcdir)/../support/set/set.c
350 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.obj -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
351 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
352 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../support/set/set.c' object='set.obj' libtool=no @AMDEPBACKSLASH@
419 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT set.obj -MD -MP -MF $(DEPDIR)/set.Tpo -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
420 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/set.Tpo $(DEPDIR)/set.Po
421 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../support/set/set.c' object='set.obj' libtool=no @AMDEPBACKSLASH@
353422 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
354 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
423 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o set.obj `if test -f '$(srcdir)/../support/set/set.c'; then $(CYGPATH_W) '$(srcdir)/../support/set/set.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../support/set/set.c'; fi`
355424
356425 # This directory's subdirectories are mostly independent; you can cd
357 # into them and run `make' without going through this Makefile.
358 # To change the values of `make' variables: instead of editing Makefiles,
359 # (1) if the variable is set in `config.status', edit `config.status'
360 # (which will cause the Makefiles to be regenerated when you run `make');
361 # (2) otherwise, pass the desired values on the `make' command line.
362 $(RECURSIVE_TARGETS):
426 # into them and run 'make' without going through this Makefile.
427 # To change the values of 'make' variables: instead of editing Makefiles,
428 # (1) if the variable is set in 'config.status', edit 'config.status'
429 # (which will cause the Makefiles to be regenerated when you run 'make');
430 # (2) otherwise, pass the desired values on the 'make' command line.
431 $(am__recursive_targets):
363432 @fail= failcom='exit 1'; \
364433 for f in x $$MAKEFLAGS; do \
365434 case $$f in \
369438 done; \
370439 dot_seen=no; \
371440 target=`echo $@ | sed s/-recursive//`; \
372 list='$(SUBDIRS)'; for subdir in $$list; do \
441 case "$@" in \
442 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
443 *) list='$(SUBDIRS)' ;; \
444 esac; \
445 for subdir in $$list; do \
373446 echo "Making $$target in $$subdir"; \
374447 if test "$$subdir" = "."; then \
375448 dot_seen=yes; \
384457 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
385458 fi; test -z "$$fail"
386459
387 $(RECURSIVE_CLEAN_TARGETS):
388 @fail= failcom='exit 1'; \
389 for f in x $$MAKEFLAGS; do \
390 case $$f in \
391 *=* | --[!k]*);; \
392 *k*) failcom='fail=yes';; \
393 esac; \
394 done; \
395 dot_seen=no; \
396 case "$@" in \
397 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
398 *) list='$(SUBDIRS)' ;; \
399 esac; \
400 rev=''; for subdir in $$list; do \
401 if test "$$subdir" = "."; then :; else \
402 rev="$$subdir $$rev"; \
403 fi; \
404 done; \
405 rev="$$rev ."; \
406 target=`echo $@ | sed s/-recursive//`; \
407 for subdir in $$rev; do \
408 echo "Making $$target in $$subdir"; \
409 if test "$$subdir" = "."; then \
410 local_target="$$target-am"; \
411 else \
412 local_target="$$target"; \
413 fi; \
414 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
415 || eval $$failcom; \
416 done && test -z "$$fail"
417 tags-recursive:
418 list='$(SUBDIRS)'; for subdir in $$list; do \
419 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
420 done
421 ctags-recursive:
422 list='$(SUBDIRS)'; for subdir in $$list; do \
423 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
424 done
425
426 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
427 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
428 unique=`for i in $$list; do \
429 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
430 done | \
431 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
432 END { if (nonempty) { for (i in files) print i; }; }'`; \
433 mkid -fID $$unique
434 tags: TAGS
435
436 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
437 $(TAGS_FILES) $(LISP)
460 ID: $(am__tagged_files)
461 $(am__define_uniq_tagged_files); mkid -fID $$unique
462 tags: tags-recursive
463 TAGS: tags
464
465 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
438466 set x; \
439467 here=`pwd`; \
440468 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
450478 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
451479 fi; \
452480 done; \
453 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
454 unique=`for i in $$list; do \
455 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
456 done | \
457 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
458 END { if (nonempty) { for (i in files) print i; }; }'`; \
481 $(am__define_uniq_tagged_files); \
459482 shift; \
460483 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
461484 test -n "$$unique" || unique=$$empty_fix; \
467490 $$unique; \
468491 fi; \
469492 fi
470 ctags: CTAGS
471 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
472 $(TAGS_FILES) $(LISP)
473 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
474 unique=`for i in $$list; do \
475 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
476 done | \
477 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
478 END { if (nonempty) { for (i in files) print i; }; }'`; \
493 ctags: ctags-recursive
494
495 CTAGS: ctags
496 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
497 $(am__define_uniq_tagged_files); \
479498 test -z "$(CTAGS_ARGS)$$unique" \
480499 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
481500 $$unique
484503 here=`$(am__cd) $(top_builddir) && pwd` \
485504 && $(am__cd) $(top_srcdir) \
486505 && gtags -i $(GTAGS_ARGS) "$$here"
506 cscopelist: cscopelist-recursive
507
508 cscopelist-am: $(am__tagged_files)
509 list='$(am__tagged_files)'; \
510 case "$(srcdir)" in \
511 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
512 *) sdir=$(subdir)/$(srcdir) ;; \
513 esac; \
514 for i in $$list; do \
515 if test -f "$$i"; then \
516 echo "$(subdir)/$$i"; \
517 else \
518 echo "$$sdir/$$i"; \
519 fi; \
520 done >> $(top_builddir)/cscope.files
487521
488522 distclean-tags:
489523 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
520554 done
521555 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
522556 if test "$$subdir" = .; then :; else \
523 test -d "$(distdir)/$$subdir" \
524 || $(MKDIR_P) "$(distdir)/$$subdir" \
525 || exit 1; \
526 fi; \
527 done
528 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
529 if test "$$subdir" = .; then :; else \
557 $(am__make_dryrun) \
558 || test -d "$(distdir)/$$subdir" \
559 || $(MKDIR_P) "$(distdir)/$$subdir" \
560 || exit 1; \
530561 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
531562 $(am__relativize); \
532563 new_distdir=$$reldir; \
561592
562593 installcheck: installcheck-recursive
563594 install-strip:
564 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
565 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
566 `test -z '$(STRIP)' || \
567 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
595 if test -z '$(STRIP)'; then \
596 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
597 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
598 install; \
599 else \
600 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
601 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
602 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
603 fi
568604 mostlyclean-generic:
569605
570606 clean-generic:
645681
646682 uninstall-am:
647683
648 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
649 install-am install-strip tags-recursive
650
651 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
652 all all-am check check-am clean clean-generic \
653 clean-noinstPROGRAMS ctags ctags-recursive distclean \
654 distclean-compile distclean-generic distclean-tags distdir dvi \
655 dvi-am html html-am info info-am install install-am \
656 install-data install-data-am install-dvi install-dvi-am \
657 install-exec install-exec-am install-html install-html-am \
658 install-info install-info-am install-man install-pdf \
659 install-pdf-am install-ps install-ps-am install-strip \
660 installcheck installcheck-am installdirs installdirs-am \
661 maintainer-clean maintainer-clean-generic mostlyclean \
662 mostlyclean-compile mostlyclean-generic pdf pdf-am ps ps-am \
663 tags tags-recursive uninstall uninstall-am
684 .MAKE: $(am__recursive_targets) install-am install-strip
685
686 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
687 check-am clean clean-generic clean-noinstPROGRAMS \
688 cscopelist-am ctags ctags-am distclean distclean-compile \
689 distclean-generic distclean-tags distdir dvi dvi-am html \
690 html-am info info-am install install-am install-data \
691 install-data-am install-dvi install-dvi-am install-exec \
692 install-exec-am install-html install-html-am install-info \
693 install-info-am install-man install-pdf install-pdf-am \
694 install-ps install-ps-am install-strip installcheck \
695 installcheck-am installdirs installdirs-am maintainer-clean \
696 maintainer-clean-generic mostlyclean mostlyclean-compile \
697 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
698 uninstall-am
664699
665700
666701 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/sorcerer/h
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
216252 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
217253 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
218254 $(am__aclocal_m4_deps):
219 tags: TAGS
220 TAGS:
221
222 ctags: CTAGS
223 CTAGS:
255 tags TAGS:
256
257 ctags CTAGS:
258
259 cscope cscopelist:
224260
225261
226262 distdir: $(DISTFILES)
267303
268304 installcheck: installcheck-am
269305 install-strip:
270 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
271 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
272 `test -z '$(STRIP)' || \
273 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
306 if test -z '$(STRIP)'; then \
307 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
308 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
309 install; \
310 else \
311 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
312 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
313 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
314 fi
274315 mostlyclean-generic:
275316
276317 clean-generic:
350391
351392 .MAKE: install-am install-strip
352393
353 .PHONY: all all-am check check-am clean clean-generic distclean \
354 distclean-generic distdir dvi dvi-am html html-am info info-am \
355 install install-am install-data install-data-am install-dvi \
356 install-dvi-am install-exec install-exec-am install-html \
357 install-html-am install-info install-info-am install-man \
358 install-pdf install-pdf-am install-ps install-ps-am \
359 install-strip installcheck installcheck-am installdirs \
360 maintainer-clean maintainer-clean-generic mostlyclean \
361 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
394 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
395 ctags-am distclean distclean-generic distdir dvi dvi-am html \
396 html-am info info-am install install-am install-data \
397 install-data-am install-dvi install-dvi-am install-exec \
398 install-exec-am install-html install-html-am install-info \
399 install-info-am install-man install-pdf install-pdf-am \
400 install-ps install-ps-am install-strip installcheck \
401 installcheck-am installdirs maintainer-clean \
402 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
403 pdf-am ps ps-am tags-am uninstall uninstall-am
362404
363405
364406 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1817 #OBJ = astlib.o sstack.o sorlist.o sintstack.o
1918 #CFLAGS=$(COPT) -I../../h -I../h
2019 VPATH = @srcdir@
20 am__make_dryrun = \
21 { \
22 am__dry=no; \
23 case $$MAKEFLAGS in \
24 *\\[\ \ ]*) \
25 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
26 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
27 *) \
28 for am__flg in $$MAKEFLAGS; do \
29 case $$am__flg in \
30 *=*|--*) ;; \
31 *n*) am__dry=yes; break;; \
32 esac; \
33 done;; \
34 esac; \
35 test $$am__dry = yes; \
36 }
2137 pkgdatadir = $(datadir)/@PACKAGE@
2238 pkgincludedir = $(includedir)/@PACKAGE@
2339 pkglibdir = $(libdir)/@PACKAGE@
3551 PRE_UNINSTALL = :
3652 POST_UNINSTALL = :
3753 subdir = contrib/pccts/sorcerer/lib
38 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
54 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3955 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
4056 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
4157 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4460 CONFIG_HEADER = $(top_builddir)/config.h
4561 CONFIG_CLEAN_FILES =
4662 CONFIG_CLEAN_VPATH_FILES =
63 AM_V_P = $(am__v_P_@AM_V@)
64 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
65 am__v_P_0 = false
66 am__v_P_1 = :
67 AM_V_GEN = $(am__v_GEN_@AM_V@)
68 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
69 am__v_GEN_0 = @echo " GEN " $@;
70 am__v_GEN_1 =
71 AM_V_at = $(am__v_at_@AM_V@)
72 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
73 am__v_at_0 = @
74 am__v_at_1 =
4775 SOURCES =
4876 DIST_SOURCES =
77 am__can_run_installinfo = \
78 case $$AM_UPDATE_INFO_DIR in \
79 n|no|NO) false;; \
80 *) (install-info --version) >/dev/null 2>&1;; \
81 esac
82 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4983 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
5084 ACLOCAL = @ACLOCAL@
5185 AET2_CFLAGS = @AET2_CFLAGS@
5286 AET2_LDADD = @AET2_LDADD@
5387 ALLOCA = @ALLOCA@
5488 AMTAR = @AMTAR@
89 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5590 AUTOCONF = @AUTOCONF@
5691 AUTOHEADER = @AUTOHEADER@
5792 AUTOMAKE = @AUTOMAKE@
120155 PACKAGE_NAME = @PACKAGE_NAME@
121156 PACKAGE_STRING = @PACKAGE_STRING@
122157 PACKAGE_TARNAME = @PACKAGE_TARNAME@
158 PACKAGE_URL = @PACKAGE_URL@
123159 PACKAGE_VERSION = @PACKAGE_VERSION@
124160 PATH_SEPARATOR = @PATH_SEPARATOR@
125161 PKG_CONFIG = @PKG_CONFIG@
221257 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
222258 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
223259 $(am__aclocal_m4_deps):
224 tags: TAGS
225 TAGS:
226
227 ctags: CTAGS
228 CTAGS:
260 tags TAGS:
261
262 ctags CTAGS:
263
264 cscope cscopelist:
229265
230266
231267 distdir: $(DISTFILES)
272308
273309 installcheck: installcheck-am
274310 install-strip:
275 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
276 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
277 `test -z '$(STRIP)' || \
278 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
311 if test -z '$(STRIP)'; then \
312 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
313 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
314 install; \
315 else \
316 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
317 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
318 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
319 fi
279320 mostlyclean-generic:
280321
281322 clean-generic:
355396
356397 .MAKE: install-am install-strip
357398
358 .PHONY: all all-am check check-am clean clean-generic distclean \
359 distclean-generic distdir dvi dvi-am html html-am info info-am \
360 install install-am install-data install-data-am install-dvi \
361 install-dvi-am install-exec install-exec-am install-html \
362 install-html-am install-info install-info-am install-man \
363 install-pdf install-pdf-am install-ps install-ps-am \
364 install-strip installcheck installcheck-am installdirs \
365 maintainer-clean maintainer-clean-generic mostlyclean \
366 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
399 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
400 ctags-am distclean distclean-generic distdir dvi dvi-am html \
401 html-am info info-am install install-am install-data \
402 install-data-am install-dvi install-dvi-am install-exec \
403 install-exec-am install-html install-html-am install-info \
404 install-info-am install-man install-pdf install-pdf-am \
405 install-ps install-ps-am install-strip installcheck \
406 installcheck-am installdirs maintainer-clean \
407 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
408 pdf-am ps ps-am tags-am uninstall uninstall-am
367409
368410
369411 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/sorcerer/test
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 #PCCTS=../..
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/sorcerer/test/test7
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
213249 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
214250 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
215251 $(am__aclocal_m4_deps):
216 tags: TAGS
217 TAGS:
218
219 ctags: CTAGS
220 CTAGS:
252 tags TAGS:
253
254 ctags CTAGS:
255
256 cscope cscopelist:
221257
222258
223259 distdir: $(DISTFILES)
264300
265301 installcheck: installcheck-am
266302 install-strip:
267 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
268 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
269 `test -z '$(STRIP)' || \
270 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
303 if test -z '$(STRIP)'; then \
304 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
305 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
306 install; \
307 else \
308 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
309 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
310 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
311 fi
271312 mostlyclean-generic:
272313
273314 clean-generic:
347388
348389 .MAKE: install-am install-strip
349390
350 .PHONY: all all-am check check-am clean clean-generic distclean \
351 distclean-generic distdir dvi dvi-am html html-am info info-am \
352 install install-am install-data install-data-am install-dvi \
353 install-dvi-am install-exec install-exec-am install-html \
354 install-html-am install-info install-info-am install-man \
355 install-pdf install-pdf-am install-ps install-ps-am \
356 install-strip installcheck installcheck-am installdirs \
357 maintainer-clean maintainer-clean-generic mostlyclean \
358 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
391 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
392 ctags-am distclean distclean-generic distdir dvi dvi-am html \
393 html-am info info-am install install-am install-data \
394 install-data-am install-dvi install-dvi-am install-exec \
395 install-exec-am install-html install-html-am install-info \
396 install-info-am install-man install-pdf install-pdf-am \
397 install-ps install-ps-am install-strip installcheck \
398 installcheck-am installdirs maintainer-clean \
399 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
400 pdf-am ps ps-am tags-am uninstall uninstall-am
359401
360402
361403 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
8786 #Cool.o : Cool.cpp
8887 # $(CCC) -c $(CFLAGS) Cool.cpp
8988 VPATH = @srcdir@
89 am__make_dryrun = \
90 { \
91 am__dry=no; \
92 case $$MAKEFLAGS in \
93 *\\[\ \ ]*) \
94 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
95 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
96 *) \
97 for am__flg in $$MAKEFLAGS; do \
98 case $$am__flg in \
99 *=*|--*) ;; \
100 *n*) am__dry=yes; break;; \
101 esac; \
102 done;; \
103 esac; \
104 test $$am__dry = yes; \
105 }
90106 pkgdatadir = $(datadir)/@PACKAGE@
91107 pkgincludedir = $(includedir)/@PACKAGE@
92108 pkglibdir = $(libdir)/@PACKAGE@
104120 PRE_UNINSTALL = :
105121 POST_UNINSTALL = :
106122 subdir = contrib/pccts/sorcerer/testcpp
107 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
123 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
108124 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
109125 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
110126 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
113129 CONFIG_HEADER = $(top_builddir)/config.h
114130 CONFIG_CLEAN_FILES =
115131 CONFIG_CLEAN_VPATH_FILES =
132 AM_V_P = $(am__v_P_@AM_V@)
133 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
134 am__v_P_0 = false
135 am__v_P_1 = :
136 AM_V_GEN = $(am__v_GEN_@AM_V@)
137 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
138 am__v_GEN_0 = @echo " GEN " $@;
139 am__v_GEN_1 =
140 AM_V_at = $(am__v_at_@AM_V@)
141 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
142 am__v_at_0 = @
143 am__v_at_1 =
116144 SOURCES =
117145 DIST_SOURCES =
146 am__can_run_installinfo = \
147 case $$AM_UPDATE_INFO_DIR in \
148 n|no|NO) false;; \
149 *) (install-info --version) >/dev/null 2>&1;; \
150 esac
151 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
118152 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
119153 ACLOCAL = @ACLOCAL@
120154 AET2_CFLAGS = @AET2_CFLAGS@
121155 AET2_LDADD = @AET2_LDADD@
122156 ALLOCA = @ALLOCA@
123157 AMTAR = @AMTAR@
158 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
124159 AUTOCONF = @AUTOCONF@
125160 AUTOHEADER = @AUTOHEADER@
126161 AUTOMAKE = @AUTOMAKE@
189224 PACKAGE_NAME = @PACKAGE_NAME@
190225 PACKAGE_STRING = @PACKAGE_STRING@
191226 PACKAGE_TARNAME = @PACKAGE_TARNAME@
227 PACKAGE_URL = @PACKAGE_URL@
192228 PACKAGE_VERSION = @PACKAGE_VERSION@
193229 PATH_SEPARATOR = @PATH_SEPARATOR@
194230 PKG_CONFIG = @PKG_CONFIG@
286322 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
287323 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
288324 $(am__aclocal_m4_deps):
289 tags: TAGS
290 TAGS:
291
292 ctags: CTAGS
293 CTAGS:
325 tags TAGS:
326
327 ctags CTAGS:
328
329 cscope cscopelist:
294330
295331
296332 distdir: $(DISTFILES)
337373
338374 installcheck: installcheck-am
339375 install-strip:
340 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
341 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
342 `test -z '$(STRIP)' || \
343 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
376 if test -z '$(STRIP)'; then \
377 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
378 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
379 install; \
380 else \
381 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
382 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
383 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
384 fi
344385 mostlyclean-generic:
345386
346387 clean-generic:
420461
421462 .MAKE: install-am install-strip
422463
423 .PHONY: all all-am check check-am clean clean-generic distclean \
424 distclean-generic distdir dvi dvi-am html html-am info info-am \
425 install install-am install-data install-data-am install-dvi \
426 install-dvi-am install-exec install-exec-am install-html \
427 install-html-am install-info install-info-am install-man \
428 install-pdf install-pdf-am install-ps install-ps-am \
429 install-strip installcheck installcheck-am installdirs \
430 maintainer-clean maintainer-clean-generic mostlyclean \
431 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
464 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
465 ctags-am distclean distclean-generic distdir dvi dvi-am html \
466 html-am info info-am install install-am install-data \
467 install-data-am install-dvi install-dvi-am install-exec \
468 install-exec-am install-html install-html-am install-info \
469 install-info-am install-man install-pdf install-pdf-am \
470 install-ps install-ps-am install-strip installcheck \
471 installcheck-am installdirs maintainer-clean \
472 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
473 pdf-am ps ps-am tags-am uninstall uninstall-am
432474
433475
434476 #scrub:
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/support/DECmms
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
214250 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
215251 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
216252 $(am__aclocal_m4_deps):
217 tags: TAGS
218 TAGS:
219
220 ctags: CTAGS
221 CTAGS:
253 tags TAGS:
254
255 ctags CTAGS:
256
257 cscope cscopelist:
222258
223259
224260 distdir: $(DISTFILES)
265301
266302 installcheck: installcheck-am
267303 install-strip:
268 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
269 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
270 `test -z '$(STRIP)' || \
271 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
304 if test -z '$(STRIP)'; then \
305 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
306 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
307 install; \
308 else \
309 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
310 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
311 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
312 fi
272313 mostlyclean-generic:
273314
274315 clean-generic:
348389
349390 .MAKE: install-am install-strip
350391
351 .PHONY: all all-am check check-am clean clean-generic distclean \
352 distclean-generic distdir dvi dvi-am html html-am info info-am \
353 install install-am install-data install-data-am install-dvi \
354 install-dvi-am install-exec install-exec-am install-html \
355 install-html-am install-info install-info-am install-man \
356 install-pdf install-pdf-am install-ps install-ps-am \
357 install-strip installcheck installcheck-am installdirs \
358 maintainer-clean maintainer-clean-generic mostlyclean \
359 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
392 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
393 ctags-am distclean distclean-generic distdir dvi dvi-am html \
394 html-am info info-am install install-am install-data \
395 install-data-am install-dvi install-dvi-am install-exec \
396 install-exec-am install-html install-html-am install-info \
397 install-info-am install-man install-pdf install-pdf-am \
398 install-ps install-ps-am install-strip installcheck \
399 installcheck-am installdirs maintainer-clean \
400 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
401 pdf-am ps ps-am tags-am uninstall uninstall-am
360402
361403
362404 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/support
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
257313 $(am__aclocal_m4_deps):
258314
259315 # This directory's subdirectories are mostly independent; you can cd
260 # into them and run `make' without going through this Makefile.
261 # To change the values of `make' variables: instead of editing Makefiles,
262 # (1) if the variable is set in `config.status', edit `config.status'
263 # (which will cause the Makefiles to be regenerated when you run `make');
264 # (2) otherwise, pass the desired values on the `make' command line.
265 $(RECURSIVE_TARGETS):
316 # into them and run 'make' without going through this Makefile.
317 # To change the values of 'make' variables: instead of editing Makefiles,
318 # (1) if the variable is set in 'config.status', edit 'config.status'
319 # (which will cause the Makefiles to be regenerated when you run 'make');
320 # (2) otherwise, pass the desired values on the 'make' command line.
321 $(am__recursive_targets):
266322 @fail= failcom='exit 1'; \
267323 for f in x $$MAKEFLAGS; do \
268324 case $$f in \
272328 done; \
273329 dot_seen=no; \
274330 target=`echo $@ | sed s/-recursive//`; \
275 list='$(SUBDIRS)'; for subdir in $$list; do \
331 case "$@" in \
332 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
333 *) list='$(SUBDIRS)' ;; \
334 esac; \
335 for subdir in $$list; do \
276336 echo "Making $$target in $$subdir"; \
277337 if test "$$subdir" = "."; then \
278338 dot_seen=yes; \
287347 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
288348 fi; test -z "$$fail"
289349
290 $(RECURSIVE_CLEAN_TARGETS):
291 @fail= failcom='exit 1'; \
292 for f in x $$MAKEFLAGS; do \
293 case $$f in \
294 *=* | --[!k]*);; \
295 *k*) failcom='fail=yes';; \
296 esac; \
297 done; \
298 dot_seen=no; \
299 case "$@" in \
300 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
301 *) list='$(SUBDIRS)' ;; \
302 esac; \
303 rev=''; for subdir in $$list; do \
304 if test "$$subdir" = "."; then :; else \
305 rev="$$subdir $$rev"; \
306 fi; \
307 done; \
308 rev="$$rev ."; \
309 target=`echo $@ | sed s/-recursive//`; \
310 for subdir in $$rev; do \
311 echo "Making $$target in $$subdir"; \
312 if test "$$subdir" = "."; then \
313 local_target="$$target-am"; \
314 else \
315 local_target="$$target"; \
316 fi; \
317 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
318 || eval $$failcom; \
319 done && test -z "$$fail"
320 tags-recursive:
321 list='$(SUBDIRS)'; for subdir in $$list; do \
322 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
323 done
324 ctags-recursive:
325 list='$(SUBDIRS)'; for subdir in $$list; do \
326 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
327 done
328
329 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
330 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
331 unique=`for i in $$list; do \
332 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
333 done | \
334 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
335 END { if (nonempty) { for (i in files) print i; }; }'`; \
336 mkid -fID $$unique
337 tags: TAGS
338
339 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
340 $(TAGS_FILES) $(LISP)
350 ID: $(am__tagged_files)
351 $(am__define_uniq_tagged_files); mkid -fID $$unique
352 tags: tags-recursive
353 TAGS: tags
354
355 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
341356 set x; \
342357 here=`pwd`; \
343358 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
353368 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
354369 fi; \
355370 done; \
356 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
357 unique=`for i in $$list; do \
358 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
359 done | \
360 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
361 END { if (nonempty) { for (i in files) print i; }; }'`; \
371 $(am__define_uniq_tagged_files); \
362372 shift; \
363373 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
364374 test -n "$$unique" || unique=$$empty_fix; \
370380 $$unique; \
371381 fi; \
372382 fi
373 ctags: CTAGS
374 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
375 $(TAGS_FILES) $(LISP)
376 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
377 unique=`for i in $$list; do \
378 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
379 done | \
380 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
381 END { if (nonempty) { for (i in files) print i; }; }'`; \
383 ctags: ctags-recursive
384
385 CTAGS: ctags
386 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
387 $(am__define_uniq_tagged_files); \
382388 test -z "$(CTAGS_ARGS)$$unique" \
383389 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
384390 $$unique
387393 here=`$(am__cd) $(top_builddir) && pwd` \
388394 && $(am__cd) $(top_srcdir) \
389395 && gtags -i $(GTAGS_ARGS) "$$here"
396 cscopelist: cscopelist-recursive
397
398 cscopelist-am: $(am__tagged_files)
399 list='$(am__tagged_files)'; \
400 case "$(srcdir)" in \
401 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
402 *) sdir=$(subdir)/$(srcdir) ;; \
403 esac; \
404 for i in $$list; do \
405 if test -f "$$i"; then \
406 echo "$(subdir)/$$i"; \
407 else \
408 echo "$$sdir/$$i"; \
409 fi; \
410 done >> $(top_builddir)/cscope.files
390411
391412 distclean-tags:
392413 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
423444 done
424445 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
425446 if test "$$subdir" = .; then :; else \
426 test -d "$(distdir)/$$subdir" \
427 || $(MKDIR_P) "$(distdir)/$$subdir" \
428 || exit 1; \
429 fi; \
430 done
431 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
432 if test "$$subdir" = .; then :; else \
447 $(am__make_dryrun) \
448 || test -d "$(distdir)/$$subdir" \
449 || $(MKDIR_P) "$(distdir)/$$subdir" \
450 || exit 1; \
433451 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
434452 $(am__relativize); \
435453 new_distdir=$$reldir; \
464482
465483 installcheck: installcheck-recursive
466484 install-strip:
467 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
468 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
469 `test -z '$(STRIP)' || \
470 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
485 if test -z '$(STRIP)'; then \
486 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
487 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
488 install; \
489 else \
490 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
491 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
492 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
493 fi
471494 mostlyclean-generic:
472495
473496 clean-generic:
545568
546569 uninstall-am:
547570
548 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
549 install-am install-strip tags-recursive
550
551 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
552 all all-am check check-am clean clean-generic ctags \
553 ctags-recursive distclean distclean-generic distclean-tags \
554 distdir dvi dvi-am html html-am info info-am install \
555 install-am install-data install-data-am install-dvi \
556 install-dvi-am install-exec install-exec-am install-html \
557 install-html-am install-info install-info-am install-man \
558 install-pdf install-pdf-am install-ps install-ps-am \
559 install-strip installcheck installcheck-am installdirs \
560 installdirs-am maintainer-clean maintainer-clean-generic \
561 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
562 tags-recursive uninstall uninstall-am
571 .MAKE: $(am__recursive_targets) install-am install-strip
572
573 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
574 check-am clean clean-generic cscopelist-am ctags ctags-am \
575 distclean distclean-generic distclean-tags distdir dvi dvi-am \
576 html html-am info info-am install install-am install-data \
577 install-data-am install-dvi install-dvi-am install-exec \
578 install-exec-am install-html install-html-am install-info \
579 install-info-am install-man install-pdf install-pdf-am \
580 install-ps install-ps-am install-strip installcheck \
581 installcheck-am installdirs installdirs-am maintainer-clean \
582 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
583 pdf-am ps ps-am tags tags-am uninstall uninstall-am
563584
564585
565586 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 noinst_PROGRAMS = genmk$(EXEEXT)
3551 subdir = contrib/pccts/support/genmk
36 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4663 am_genmk_OBJECTS = genmk.$(OBJEXT)
4764 genmk_OBJECTS = $(am_genmk_OBJECTS)
4865 genmk_LDADD = $(LDADD)
66 AM_V_P = $(am__v_P_@AM_V@)
67 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
68 am__v_P_0 = false
69 am__v_P_1 = :
70 AM_V_GEN = $(am__v_GEN_@AM_V@)
71 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
72 am__v_GEN_0 = @echo " GEN " $@;
73 am__v_GEN_1 =
74 AM_V_at = $(am__v_at_@AM_V@)
75 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
76 am__v_at_0 = @
77 am__v_at_1 =
4978 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5079 depcomp = $(SHELL) $(top_srcdir)/depcomp
5180 am__depfiles_maybe = depfiles
5281 am__mv = mv -f
5382 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5483 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
84 AM_V_CC = $(am__v_CC_@AM_V@)
85 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
86 am__v_CC_0 = @echo " CC " $@;
87 am__v_CC_1 =
5588 CCLD = $(CC)
5689 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
90 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
91 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
92 am__v_CCLD_0 = @echo " CCLD " $@;
93 am__v_CCLD_1 =
5794 SOURCES = $(genmk_SOURCES)
5895 DIST_SOURCES = $(genmk_SOURCES)
96 am__can_run_installinfo = \
97 case $$AM_UPDATE_INFO_DIR in \
98 n|no|NO) false;; \
99 *) (install-info --version) >/dev/null 2>&1;; \
100 esac
101 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
102 # Read a list of newline-separated strings from the standard input,
103 # and print each of them once, without duplicates. Input order is
104 # *not* preserved.
105 am__uniquify_input = $(AWK) '\
106 BEGIN { nonempty = 0; } \
107 { items[$$0] = 1; nonempty = 1; } \
108 END { if (nonempty) { for (i in items) print i; }; } \
109 '
110 # Make sure the list of sources is unique. This is necessary because,
111 # e.g., the same source file might be shared among _SOURCES variables
112 # for different programs/libraries.
113 am__define_uniq_tagged_files = \
114 list='$(am__tagged_files)'; \
115 unique=`for i in $$list; do \
116 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
117 done | $(am__uniquify_input)`
59118 ETAGS = etags
60119 CTAGS = ctags
61120 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
64123 AET2_LDADD = @AET2_LDADD@
65124 ALLOCA = @ALLOCA@
66125 AMTAR = @AMTAR@
126 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
67127 AUTOCONF = @AUTOCONF@
68128 AUTOHEADER = @AUTOHEADER@
69129 AUTOMAKE = @AUTOMAKE@
132192 PACKAGE_NAME = @PACKAGE_NAME@
133193 PACKAGE_STRING = @PACKAGE_STRING@
134194 PACKAGE_TARNAME = @PACKAGE_TARNAME@
195 PACKAGE_URL = @PACKAGE_URL@
135196 PACKAGE_VERSION = @PACKAGE_VERSION@
136197 PATH_SEPARATOR = @PATH_SEPARATOR@
137198 PKG_CONFIG = @PKG_CONFIG@
240301
241302 clean-noinstPROGRAMS:
242303 -test -z "$(noinst_PROGRAMS)" || rm -f $(noinst_PROGRAMS)
243 genmk$(EXEEXT): $(genmk_OBJECTS) $(genmk_DEPENDENCIES)
304 genmk$(EXEEXT): $(genmk_OBJECTS) $(genmk_DEPENDENCIES) $(EXTRA_genmk_DEPENDENCIES)
244305 @rm -f genmk$(EXEEXT)
245 $(LINK) $(genmk_OBJECTS) $(genmk_LDADD) $(LIBS)
306 $(AM_V_CCLD)$(LINK) $(genmk_OBJECTS) $(genmk_LDADD) $(LIBS)
246307
247308 mostlyclean-compile:
248309 -rm -f *.$(OBJEXT)
253314 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/genmk.Po@am__quote@
254315
255316 .c.o:
256 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
257 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
258 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
317 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
318 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
319 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
259320 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
260 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
321 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
261322
262323 .c.obj:
263 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
264 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
265 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
324 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
325 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
326 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
266327 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
267 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
268
269 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
270 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
271 unique=`for i in $$list; do \
272 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
273 done | \
274 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
275 END { if (nonempty) { for (i in files) print i; }; }'`; \
276 mkid -fID $$unique
277 tags: TAGS
278
279 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
280 $(TAGS_FILES) $(LISP)
328 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
329
330 ID: $(am__tagged_files)
331 $(am__define_uniq_tagged_files); mkid -fID $$unique
332 tags: tags-am
333 TAGS: tags
334
335 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
281336 set x; \
282337 here=`pwd`; \
283 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
284 unique=`for i in $$list; do \
285 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
286 done | \
287 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
288 END { if (nonempty) { for (i in files) print i; }; }'`; \
338 $(am__define_uniq_tagged_files); \
289339 shift; \
290340 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
291341 test -n "$$unique" || unique=$$empty_fix; \
297347 $$unique; \
298348 fi; \
299349 fi
300 ctags: CTAGS
301 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
302 $(TAGS_FILES) $(LISP)
303 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
304 unique=`for i in $$list; do \
305 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
306 done | \
307 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
308 END { if (nonempty) { for (i in files) print i; }; }'`; \
350 ctags: ctags-am
351
352 CTAGS: ctags
353 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
354 $(am__define_uniq_tagged_files); \
309355 test -z "$(CTAGS_ARGS)$$unique" \
310356 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
311357 $$unique
314360 here=`$(am__cd) $(top_builddir) && pwd` \
315361 && $(am__cd) $(top_srcdir) \
316362 && gtags -i $(GTAGS_ARGS) "$$here"
363 cscopelist: cscopelist-am
364
365 cscopelist-am: $(am__tagged_files)
366 list='$(am__tagged_files)'; \
367 case "$(srcdir)" in \
368 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
369 *) sdir=$(subdir)/$(srcdir) ;; \
370 esac; \
371 for i in $$list; do \
372 if test -f "$$i"; then \
373 echo "$(subdir)/$$i"; \
374 else \
375 echo "$$sdir/$$i"; \
376 fi; \
377 done >> $(top_builddir)/cscope.files
317378
318379 distclean-tags:
319380 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
362423
363424 installcheck: installcheck-am
364425 install-strip:
365 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
366 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
367 `test -z '$(STRIP)' || \
368 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
426 if test -z '$(STRIP)'; then \
427 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
428 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
429 install; \
430 else \
431 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
432 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
433 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
434 fi
369435 mostlyclean-generic:
370436
371437 clean-generic:
448514
449515 .MAKE: install-am install-strip
450516
451 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
452 clean-noinstPROGRAMS ctags distclean distclean-compile \
453 distclean-generic distclean-tags distdir dvi dvi-am html \
454 html-am info info-am install install-am install-data \
455 install-data-am install-dvi install-dvi-am install-exec \
456 install-exec-am install-html install-html-am install-info \
457 install-info-am install-man install-pdf install-pdf-am \
458 install-ps install-ps-am install-strip installcheck \
459 installcheck-am installdirs maintainer-clean \
517 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
518 clean-noinstPROGRAMS cscopelist-am ctags ctags-am distclean \
519 distclean-compile distclean-generic distclean-tags distdir dvi \
520 dvi-am html html-am info info-am install install-am \
521 install-data install-data-am install-dvi install-dvi-am \
522 install-exec install-exec-am install-html install-html-am \
523 install-info install-info-am install-man install-pdf \
524 install-pdf-am install-ps install-ps-am install-strip \
525 installcheck installcheck-am installdirs maintainer-clean \
460526 maintainer-clean-generic mostlyclean mostlyclean-compile \
461 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
527 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
462528 uninstall-am
463529
464530
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 noinst_PROGRAMS = rexpr$(EXEEXT)
3551 subdir = contrib/pccts/support/rexpr
36 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4663 am_rexpr_OBJECTS = rexpr.$(OBJEXT) test.$(OBJEXT)
4764 rexpr_OBJECTS = $(am_rexpr_OBJECTS)
4865 rexpr_LDADD = $(LDADD)
66 AM_V_P = $(am__v_P_@AM_V@)
67 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
68 am__v_P_0 = false
69 am__v_P_1 = :
70 AM_V_GEN = $(am__v_GEN_@AM_V@)
71 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
72 am__v_GEN_0 = @echo " GEN " $@;
73 am__v_GEN_1 =
74 AM_V_at = $(am__v_at_@AM_V@)
75 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
76 am__v_at_0 = @
77 am__v_at_1 =
4978 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5079 depcomp = $(SHELL) $(top_srcdir)/depcomp
5180 am__depfiles_maybe = depfiles
5281 am__mv = mv -f
5382 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5483 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
84 AM_V_CC = $(am__v_CC_@AM_V@)
85 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
86 am__v_CC_0 = @echo " CC " $@;
87 am__v_CC_1 =
5588 CCLD = $(CC)
5689 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
90 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
91 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
92 am__v_CCLD_0 = @echo " CCLD " $@;
93 am__v_CCLD_1 =
5794 SOURCES = $(rexpr_SOURCES)
5895 DIST_SOURCES = $(rexpr_SOURCES)
96 am__can_run_installinfo = \
97 case $$AM_UPDATE_INFO_DIR in \
98 n|no|NO) false;; \
99 *) (install-info --version) >/dev/null 2>&1;; \
100 esac
101 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
102 # Read a list of newline-separated strings from the standard input,
103 # and print each of them once, without duplicates. Input order is
104 # *not* preserved.
105 am__uniquify_input = $(AWK) '\
106 BEGIN { nonempty = 0; } \
107 { items[$$0] = 1; nonempty = 1; } \
108 END { if (nonempty) { for (i in items) print i; }; } \
109 '
110 # Make sure the list of sources is unique. This is necessary because,
111 # e.g., the same source file might be shared among _SOURCES variables
112 # for different programs/libraries.
113 am__define_uniq_tagged_files = \
114 list='$(am__tagged_files)'; \
115 unique=`for i in $$list; do \
116 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
117 done | $(am__uniquify_input)`
59118 ETAGS = etags
60119 CTAGS = ctags
61120 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
64123 AET2_LDADD = @AET2_LDADD@
65124 ALLOCA = @ALLOCA@
66125 AMTAR = @AMTAR@
126 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
67127 AUTOCONF = @AUTOCONF@
68128 AUTOHEADER = @AUTOHEADER@
69129 AUTOMAKE = @AUTOMAKE@
132192 PACKAGE_NAME = @PACKAGE_NAME@
133193 PACKAGE_STRING = @PACKAGE_STRING@
134194 PACKAGE_TARNAME = @PACKAGE_TARNAME@
195 PACKAGE_URL = @PACKAGE_URL@
135196 PACKAGE_VERSION = @PACKAGE_VERSION@
136197 PATH_SEPARATOR = @PATH_SEPARATOR@
137198 PKG_CONFIG = @PKG_CONFIG@
234295
235296 clean-noinstPROGRAMS:
236297 -test -z "$(noinst_PROGRAMS)" || rm -f $(noinst_PROGRAMS)
237 rexpr$(EXEEXT): $(rexpr_OBJECTS) $(rexpr_DEPENDENCIES)
298 rexpr$(EXEEXT): $(rexpr_OBJECTS) $(rexpr_DEPENDENCIES) $(EXTRA_rexpr_DEPENDENCIES)
238299 @rm -f rexpr$(EXEEXT)
239 $(LINK) $(rexpr_OBJECTS) $(rexpr_LDADD) $(LIBS)
300 $(AM_V_CCLD)$(LINK) $(rexpr_OBJECTS) $(rexpr_LDADD) $(LIBS)
240301
241302 mostlyclean-compile:
242303 -rm -f *.$(OBJEXT)
248309 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test.Po@am__quote@
249310
250311 .c.o:
251 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
252 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
253 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
312 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
313 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
314 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
254315 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
255 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
316 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
256317
257318 .c.obj:
258 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
259 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
260 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
319 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
320 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
321 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
261322 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
262 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
263
264 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
265 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
266 unique=`for i in $$list; do \
267 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
268 done | \
269 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
270 END { if (nonempty) { for (i in files) print i; }; }'`; \
271 mkid -fID $$unique
272 tags: TAGS
273
274 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
275 $(TAGS_FILES) $(LISP)
323 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
324
325 ID: $(am__tagged_files)
326 $(am__define_uniq_tagged_files); mkid -fID $$unique
327 tags: tags-am
328 TAGS: tags
329
330 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
276331 set x; \
277332 here=`pwd`; \
278 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
279 unique=`for i in $$list; do \
280 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
281 done | \
282 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
283 END { if (nonempty) { for (i in files) print i; }; }'`; \
333 $(am__define_uniq_tagged_files); \
284334 shift; \
285335 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
286336 test -n "$$unique" || unique=$$empty_fix; \
292342 $$unique; \
293343 fi; \
294344 fi
295 ctags: CTAGS
296 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
297 $(TAGS_FILES) $(LISP)
298 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
299 unique=`for i in $$list; do \
300 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
301 done | \
302 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
303 END { if (nonempty) { for (i in files) print i; }; }'`; \
345 ctags: ctags-am
346
347 CTAGS: ctags
348 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
349 $(am__define_uniq_tagged_files); \
304350 test -z "$(CTAGS_ARGS)$$unique" \
305351 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
306352 $$unique
309355 here=`$(am__cd) $(top_builddir) && pwd` \
310356 && $(am__cd) $(top_srcdir) \
311357 && gtags -i $(GTAGS_ARGS) "$$here"
358 cscopelist: cscopelist-am
359
360 cscopelist-am: $(am__tagged_files)
361 list='$(am__tagged_files)'; \
362 case "$(srcdir)" in \
363 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
364 *) sdir=$(subdir)/$(srcdir) ;; \
365 esac; \
366 for i in $$list; do \
367 if test -f "$$i"; then \
368 echo "$(subdir)/$$i"; \
369 else \
370 echo "$$sdir/$$i"; \
371 fi; \
372 done >> $(top_builddir)/cscope.files
312373
313374 distclean-tags:
314375 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
357418
358419 installcheck: installcheck-am
359420 install-strip:
360 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
361 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
362 `test -z '$(STRIP)' || \
363 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
421 if test -z '$(STRIP)'; then \
422 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
423 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
424 install; \
425 else \
426 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
427 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
428 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
429 fi
364430 mostlyclean-generic:
365431
366432 clean-generic:
443509
444510 .MAKE: install-am install-strip
445511
446 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
447 clean-noinstPROGRAMS ctags distclean distclean-compile \
448 distclean-generic distclean-tags distdir dvi dvi-am html \
449 html-am info info-am install install-am install-data \
450 install-data-am install-dvi install-dvi-am install-exec \
451 install-exec-am install-html install-html-am install-info \
452 install-info-am install-man install-pdf install-pdf-am \
453 install-ps install-ps-am install-strip installcheck \
454 installcheck-am installdirs maintainer-clean \
512 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
513 clean-noinstPROGRAMS cscopelist-am ctags ctags-am distclean \
514 distclean-compile distclean-generic distclean-tags distdir dvi \
515 dvi-am html html-am info info-am install install-am \
516 install-data install-data-am install-dvi install-dvi-am \
517 install-exec install-exec-am install-html install-html-am \
518 install-info install-info-am install-man install-pdf \
519 install-pdf-am install-ps install-ps-am install-strip \
520 installcheck installcheck-am installdirs maintainer-clean \
455521 maintainer-clean-generic mostlyclean mostlyclean-compile \
456 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
522 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
457523 uninstall-am
458524
459525
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/support/set
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
214250 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
215251 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
216252 $(am__aclocal_m4_deps):
217 tags: TAGS
218 TAGS:
219
220 ctags: CTAGS
221 CTAGS:
253 tags TAGS:
254
255 ctags CTAGS:
256
257 cscope cscopelist:
222258
223259
224260 distdir: $(DISTFILES)
265301
266302 installcheck: installcheck-am
267303 install-strip:
268 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
269 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
270 `test -z '$(STRIP)' || \
271 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
304 if test -z '$(STRIP)'; then \
305 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
306 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
307 install; \
308 else \
309 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
310 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
311 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
312 fi
272313 mostlyclean-generic:
273314
274315 clean-generic:
348389
349390 .MAKE: install-am install-strip
350391
351 .PHONY: all all-am check check-am clean clean-generic distclean \
352 distclean-generic distdir dvi dvi-am html html-am info info-am \
353 install install-am install-data install-data-am install-dvi \
354 install-dvi-am install-exec install-exec-am install-html \
355 install-html-am install-info install-info-am install-man \
356 install-pdf install-pdf-am install-ps install-ps-am \
357 install-strip installcheck installcheck-am installdirs \
358 maintainer-clean maintainer-clean-generic mostlyclean \
359 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
392 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
393 ctags-am distclean distclean-generic distdir dvi dvi-am html \
394 html-am info info-am install install-am install-data \
395 install-data-am install-dvi install-dvi-am install-exec \
396 install-exec-am install-html install-html-am install-info \
397 install-info-am install-man install-pdf install-pdf-am \
398 install-ps install-ps-am install-strip installcheck \
399 installcheck-am installdirs maintainer-clean \
400 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
401 pdf-am ps ps-am tags-am uninstall uninstall-am
360402
361403
362404 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/support/sym
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
214250 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
215251 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
216252 $(am__aclocal_m4_deps):
217 tags: TAGS
218 TAGS:
219
220 ctags: CTAGS
221 CTAGS:
253 tags TAGS:
254
255 ctags CTAGS:
256
257 cscope cscopelist:
222258
223259
224260 distdir: $(DISTFILES)
265301
266302 installcheck: installcheck-am
267303 install-strip:
268 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
269 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
270 `test -z '$(STRIP)' || \
271 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
304 if test -z '$(STRIP)'; then \
305 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
306 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
307 install; \
308 else \
309 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
310 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
311 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
312 fi
272313 mostlyclean-generic:
273314
274315 clean-generic:
348389
349390 .MAKE: install-am install-strip
350391
351 .PHONY: all all-am check check-am clean clean-generic distclean \
352 distclean-generic distdir dvi dvi-am html html-am info info-am \
353 install install-am install-data install-data-am install-dvi \
354 install-dvi-am install-exec install-exec-am install-html \
355 install-html-am install-info install-info-am install-man \
356 install-pdf install-pdf-am install-ps install-ps-am \
357 install-strip installcheck installcheck-am installdirs \
358 maintainer-clean maintainer-clean-generic mostlyclean \
359 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
392 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
393 ctags-am distclean distclean-generic distdir dvi dvi-am html \
394 html-am info info-am install install-am install-data \
395 install-data-am install-dvi install-dvi-am install-exec \
396 install-exec-am install-html install-html-am install-info \
397 install-info-am install-man install-pdf install-pdf-am \
398 install-ps install-ps-am install-strip installcheck \
399 installcheck-am installdirs maintainer-clean \
400 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
401 pdf-am ps ps-am tags-am uninstall uninstall-am
360402
361403
362404 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = contrib/pccts/testcpp
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
73 am__can_run_installinfo = \
74 case $$AM_UPDATE_INFO_DIR in \
75 n|no|NO) false;; \
76 *) (install-info --version) >/dev/null 2>&1;; \
77 esac
78 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
4579 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
4680 ACLOCAL = @ACLOCAL@
4781 AET2_CFLAGS = @AET2_CFLAGS@
4882 AET2_LDADD = @AET2_LDADD@
4983 ALLOCA = @ALLOCA@
5084 AMTAR = @AMTAR@
85 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
5186 AUTOCONF = @AUTOCONF@
5287 AUTOHEADER = @AUTOHEADER@
5388 AUTOMAKE = @AUTOMAKE@
116151 PACKAGE_NAME = @PACKAGE_NAME@
117152 PACKAGE_STRING = @PACKAGE_STRING@
118153 PACKAGE_TARNAME = @PACKAGE_TARNAME@
154 PACKAGE_URL = @PACKAGE_URL@
119155 PACKAGE_VERSION = @PACKAGE_VERSION@
120156 PATH_SEPARATOR = @PATH_SEPARATOR@
121157 PKG_CONFIG = @PKG_CONFIG@
228264 $(ACLOCAL_M4): @MAINTAINER_MODE_TRUE@ $(am__aclocal_m4_deps)
229265 cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
230266 $(am__aclocal_m4_deps):
231 tags: TAGS
232 TAGS:
233
234 ctags: CTAGS
235 CTAGS:
267 tags TAGS:
268
269 ctags CTAGS:
270
271 cscope cscopelist:
236272
237273
238274 distdir: $(DISTFILES)
279315
280316 installcheck: installcheck-am
281317 install-strip:
282 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
283 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
284 `test -z '$(STRIP)' || \
285 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
318 if test -z '$(STRIP)'; then \
319 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
320 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
321 install; \
322 else \
323 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
324 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
325 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
326 fi
286327 mostlyclean-generic:
287328
288329 clean-generic:
362403
363404 .MAKE: install-am install-strip
364405
365 .PHONY: all all-am check check-am clean clean-generic distclean \
366 distclean-generic distdir dvi dvi-am html html-am info info-am \
367 install install-am install-data install-data-am install-dvi \
368 install-dvi-am install-exec install-exec-am install-html \
369 install-html-am install-info install-info-am install-man \
370 install-pdf install-pdf-am install-ps install-ps-am \
371 install-strip installcheck installcheck-am installdirs \
372 maintainer-clean maintainer-clean-generic mostlyclean \
373 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
406 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
407 ctags-am distclean distclean-generic distdir dvi dvi-am html \
408 html-am info info-am install install-am install-data \
409 install-data-am install-dvi install-dvi-am install-exec \
410 install-exec-am install-html install-html-am install-info \
411 install-info-am install-man install-pdf install-pdf-am \
412 install-ps install-ps-am install-strip installcheck \
413 installcheck-am installdirs maintainer-clean \
414 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
415 pdf-am ps ps-am tags-am uninstall uninstall-am
374416
375417
376418 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 bin_PROGRAMS = rtlbrowse$(EXEEXT)
3551 subdir = contrib/rtlbrowse
36 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in vlex.c
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am vlex.c \
53 $(top_srcdir)/depcomp $(top_srcdir)/ylwrap
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
5774 $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_2) \
5875 $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
5976 $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
77 AM_V_P = $(am__v_P_@AM_V@)
78 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
79 am__v_P_0 = false
80 am__v_P_1 = :
81 AM_V_GEN = $(am__v_GEN_@AM_V@)
82 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
83 am__v_GEN_0 = @echo " GEN " $@;
84 am__v_GEN_1 =
85 AM_V_at = $(am__v_at_@AM_V@)
86 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
87 am__v_at_0 = @
88 am__v_at_1 =
6089 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
6190 depcomp = $(SHELL) $(top_srcdir)/depcomp
6291 am__depfiles_maybe = depfiles
6392 am__mv = mv -f
93 AM_V_lt = $(am__v_lt_@AM_V@)
94 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
95 am__v_lt_0 = --silent
96 am__v_lt_1 =
6497 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
6598 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
99 AM_V_CC = $(am__v_CC_@AM_V@)
100 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
101 am__v_CC_0 = @echo " CC " $@;
102 am__v_CC_1 =
66103 CCLD = $(CC)
67104 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
105 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
106 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
107 am__v_CCLD_0 = @echo " CCLD " $@;
108 am__v_CCLD_1 =
68109 @MAINTAINER_MODE_FALSE@am__skiplex = test -f $@ ||
69 LEXCOMPILE = $(LEX) $(LFLAGS) $(AM_LFLAGS)
110 LEXCOMPILE = $(LEX) $(AM_LFLAGS) $(LFLAGS)
111 AM_V_LEX = $(am__v_LEX_@AM_V@)
112 am__v_LEX_ = $(am__v_LEX_@AM_DEFAULT_V@)
113 am__v_LEX_0 = @echo " LEX " $@;
114 am__v_LEX_1 =
70115 YLWRAP = $(top_srcdir)/ylwrap
71116 SOURCES = $(rtlbrowse_SOURCES)
72117 DIST_SOURCES = $(rtlbrowse_SOURCES)
73 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
74 html-recursive info-recursive install-data-recursive \
75 install-dvi-recursive install-exec-recursive \
76 install-html-recursive install-info-recursive \
77 install-pdf-recursive install-ps-recursive install-recursive \
78 installcheck-recursive installdirs-recursive pdf-recursive \
79 ps-recursive uninstall-recursive
118 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
119 ctags-recursive dvi-recursive html-recursive info-recursive \
120 install-data-recursive install-dvi-recursive \
121 install-exec-recursive install-html-recursive \
122 install-info-recursive install-pdf-recursive \
123 install-ps-recursive install-recursive installcheck-recursive \
124 installdirs-recursive pdf-recursive ps-recursive \
125 tags-recursive uninstall-recursive
126 am__can_run_installinfo = \
127 case $$AM_UPDATE_INFO_DIR in \
128 n|no|NO) false;; \
129 *) (install-info --version) >/dev/null 2>&1;; \
130 esac
80131 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
81132 distclean-recursive maintainer-clean-recursive
82 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
83 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
133 am__recursive_targets = \
134 $(RECURSIVE_TARGETS) \
135 $(RECURSIVE_CLEAN_TARGETS) \
136 $(am__extra_recursive_targets)
137 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
84138 distdir
139 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
140 # Read a list of newline-separated strings from the standard input,
141 # and print each of them once, without duplicates. Input order is
142 # *not* preserved.
143 am__uniquify_input = $(AWK) '\
144 BEGIN { nonempty = 0; } \
145 { items[$$0] = 1; nonempty = 1; } \
146 END { if (nonempty) { for (i in items) print i; }; } \
147 '
148 # Make sure the list of sources is unique. This is necessary because,
149 # e.g., the same source file might be shared among _SOURCES variables
150 # for different programs/libraries.
151 am__define_uniq_tagged_files = \
152 list='$(am__tagged_files)'; \
153 unique=`for i in $$list; do \
154 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
155 done | $(am__uniquify_input)`
85156 ETAGS = etags
86157 CTAGS = ctags
87158 DIST_SUBDIRS = $(SUBDIRS)
116187 AET2_LDADD = @AET2_LDADD@
117188 ALLOCA = @ALLOCA@
118189 AMTAR = @AMTAR@
190 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
119191 AUTOCONF = @AUTOCONF@
120192 AUTOHEADER = @AUTOHEADER@
121193 AUTOMAKE = @AUTOMAKE@
184256 PACKAGE_NAME = @PACKAGE_NAME@
185257 PACKAGE_STRING = @PACKAGE_STRING@
186258 PACKAGE_TARNAME = @PACKAGE_TARNAME@
259 PACKAGE_URL = @PACKAGE_URL@
187260 PACKAGE_VERSION = @PACKAGE_VERSION@
188261 PATH_SEPARATOR = @PATH_SEPARATOR@
189262 PKG_CONFIG = @PKG_CONFIG@
313386 $(am__aclocal_m4_deps):
314387 install-binPROGRAMS: $(bin_PROGRAMS)
315388 @$(NORMAL_INSTALL)
316 test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
317389 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
390 if test -n "$$list"; then \
391 echo " $(MKDIR_P) '$(DESTDIR)$(bindir)'"; \
392 $(MKDIR_P) "$(DESTDIR)$(bindir)" || exit 1; \
393 fi; \
318394 for p in $$list; do echo "$$p $$p"; done | \
319395 sed 's/$(EXEEXT)$$//' | \
320 while read p p1; do if test -f $$p; \
321 then echo "$$p"; echo "$$p"; else :; fi; \
396 while read p p1; do if test -f $$p \
397 ; then echo "$$p"; echo "$$p"; else :; fi; \
322398 done | \
323 sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
399 sed -e 'p;s,.*/,,;n;h' \
400 -e 's|.*|.|' \
324401 -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
325402 sed 'N;N;N;s,\n, ,g' | \
326403 $(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
341418 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
342419 files=`for p in $$list; do echo "$$p"; done | \
343420 sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
344 -e 's/$$/$(EXEEXT)/' `; \
421 -e 's/$$/$(EXEEXT)/' \
422 `; \
345423 test -n "$$list" || exit 0; \
346424 echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
347425 cd "$(DESTDIR)$(bindir)" && rm -f $$files
348426
349427 clean-binPROGRAMS:
350428 -test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
351 rtlbrowse$(EXEEXT): $(rtlbrowse_OBJECTS) $(rtlbrowse_DEPENDENCIES)
429 rtlbrowse$(EXEEXT): $(rtlbrowse_OBJECTS) $(rtlbrowse_DEPENDENCIES) $(EXTRA_rtlbrowse_DEPENDENCIES)
352430 @rm -f rtlbrowse$(EXEEXT)
353 $(LINK) $(rtlbrowse_OBJECTS) $(rtlbrowse_LDADD) $(LIBS)
431 $(AM_V_CCLD)$(LINK) $(rtlbrowse_OBJECTS) $(rtlbrowse_LDADD) $(LIBS)
354432
355433 mostlyclean-compile:
356434 -rm -f *.$(OBJEXT)
374452 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/vzt_read.Po@am__quote@
375453
376454 .c.o:
377 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
378 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
379 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
380 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
381 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
455 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
456 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
457 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
458 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
459 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
382460
383461 .c.obj:
384 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
385 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
386 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
387 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
388 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
462 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
463 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
464 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
465 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
466 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
389467
390468 fastlz.o: $(srcdir)/../../src/helpers/fst/fastlz.c
391 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.o -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.o `test -f '$(srcdir)/../../src/helpers/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fastlz.c
392 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
393 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/fst/fastlz.c' object='fastlz.o' libtool=no @AMDEPBACKSLASH@
394 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
395 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.o `test -f '$(srcdir)/../../src/helpers/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fastlz.c
469 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.o -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.o `test -f '$(srcdir)/../../src/helpers/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fastlz.c
470 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
471 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/fst/fastlz.c' object='fastlz.o' libtool=no @AMDEPBACKSLASH@
472 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
473 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.o `test -f '$(srcdir)/../../src/helpers/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fastlz.c
396474
397475 fastlz.obj: $(srcdir)/../../src/helpers/fst/fastlz.c
398 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.obj -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.obj `if test -f '$(srcdir)/../../src/helpers/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fastlz.c'; fi`
399 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
400 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/fst/fastlz.c' object='fastlz.obj' libtool=no @AMDEPBACKSLASH@
401 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
402 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.obj `if test -f '$(srcdir)/../../src/helpers/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fastlz.c'; fi`
476 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.obj -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.obj `if test -f '$(srcdir)/../../src/helpers/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fastlz.c'; fi`
477 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
478 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/fst/fastlz.c' object='fastlz.obj' libtool=no @AMDEPBACKSLASH@
479 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
480 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.obj `if test -f '$(srcdir)/../../src/helpers/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fastlz.c'; fi`
403481
404482 fstapi.o: $(srcdir)/../../src/helpers/fst/fstapi.c
405 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.o -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.o `test -f '$(srcdir)/../../src/helpers/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fstapi.c
406 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
407 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/fst/fstapi.c' object='fstapi.o' libtool=no @AMDEPBACKSLASH@
408 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
409 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.o `test -f '$(srcdir)/../../src/helpers/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fstapi.c
483 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.o -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.o `test -f '$(srcdir)/../../src/helpers/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fstapi.c
484 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
485 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/fst/fstapi.c' object='fstapi.o' libtool=no @AMDEPBACKSLASH@
486 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
487 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.o `test -f '$(srcdir)/../../src/helpers/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/fst/fstapi.c
410488
411489 fstapi.obj: $(srcdir)/../../src/helpers/fst/fstapi.c
412 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.obj -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.obj `if test -f '$(srcdir)/../../src/helpers/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fstapi.c'; fi`
413 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
414 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/fst/fstapi.c' object='fstapi.obj' libtool=no @AMDEPBACKSLASH@
415 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
416 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.obj `if test -f '$(srcdir)/../../src/helpers/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fstapi.c'; fi`
490 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.obj -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.obj `if test -f '$(srcdir)/../../src/helpers/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fstapi.c'; fi`
491 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
492 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/fst/fstapi.c' object='fstapi.obj' libtool=no @AMDEPBACKSLASH@
493 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
494 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.obj `if test -f '$(srcdir)/../../src/helpers/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/fst/fstapi.c'; fi`
417495
418496 vzt_read.o: $(srcdir)/../../src/helpers/vzt_read.c
419 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.o -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.o `test -f '$(srcdir)/../../src/helpers/vzt_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/vzt_read.c
420 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
421 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/vzt_read.c' object='vzt_read.o' libtool=no @AMDEPBACKSLASH@
422 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
423 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.o `test -f '$(srcdir)/../../src/helpers/vzt_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/vzt_read.c
497 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.o -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.o `test -f '$(srcdir)/../../src/helpers/vzt_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/vzt_read.c
498 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
499 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/vzt_read.c' object='vzt_read.o' libtool=no @AMDEPBACKSLASH@
500 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
501 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.o `test -f '$(srcdir)/../../src/helpers/vzt_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/vzt_read.c
424502
425503 vzt_read.obj: $(srcdir)/../../src/helpers/vzt_read.c
426 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.obj -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.obj `if test -f '$(srcdir)/../../src/helpers/vzt_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/vzt_read.c'; fi`
427 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
428 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/vzt_read.c' object='vzt_read.obj' libtool=no @AMDEPBACKSLASH@
429 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
430 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.obj `if test -f '$(srcdir)/../../src/helpers/vzt_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/vzt_read.c'; fi`
504 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.obj -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.obj `if test -f '$(srcdir)/../../src/helpers/vzt_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/vzt_read.c'; fi`
505 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
506 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/vzt_read.c' object='vzt_read.obj' libtool=no @AMDEPBACKSLASH@
507 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
508 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.obj `if test -f '$(srcdir)/../../src/helpers/vzt_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/vzt_read.c'; fi`
431509
432510 lxt2_read.o: $(srcdir)/../../src/helpers/lxt2_read.c
433 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.o -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.o `test -f '$(srcdir)/../../src/helpers/lxt2_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/lxt2_read.c
434 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
435 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/lxt2_read.c' object='lxt2_read.o' libtool=no @AMDEPBACKSLASH@
436 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
437 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.o `test -f '$(srcdir)/../../src/helpers/lxt2_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/lxt2_read.c
511 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.o -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.o `test -f '$(srcdir)/../../src/helpers/lxt2_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/lxt2_read.c
512 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
513 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/lxt2_read.c' object='lxt2_read.o' libtool=no @AMDEPBACKSLASH@
514 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
515 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.o `test -f '$(srcdir)/../../src/helpers/lxt2_read.c' || echo '$(srcdir)/'`$(srcdir)/../../src/helpers/lxt2_read.c
438516
439517 lxt2_read.obj: $(srcdir)/../../src/helpers/lxt2_read.c
440 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.obj -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.obj `if test -f '$(srcdir)/../../src/helpers/lxt2_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/lxt2_read.c'; fi`
441 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
442 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/helpers/lxt2_read.c' object='lxt2_read.obj' libtool=no @AMDEPBACKSLASH@
443 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
444 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.obj `if test -f '$(srcdir)/../../src/helpers/lxt2_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/lxt2_read.c'; fi`
518 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.obj -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.obj `if test -f '$(srcdir)/../../src/helpers/lxt2_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/lxt2_read.c'; fi`
519 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
520 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/helpers/lxt2_read.c' object='lxt2_read.obj' libtool=no @AMDEPBACKSLASH@
521 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
522 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.obj `if test -f '$(srcdir)/../../src/helpers/lxt2_read.c'; then $(CYGPATH_W) '$(srcdir)/../../src/helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/helpers/lxt2_read.c'; fi`
445523
446524 LzmaLib.o: $(srcdir)/../../src/liblzma/LzmaLib.c
447 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.o -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.o `test -f '$(srcdir)/../../src/liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../../src/liblzma/LzmaLib.c
448 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
449 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/liblzma/LzmaLib.c' object='LzmaLib.o' libtool=no @AMDEPBACKSLASH@
450 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
451 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.o `test -f '$(srcdir)/../../src/liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../../src/liblzma/LzmaLib.c
525 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.o -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.o `test -f '$(srcdir)/../../src/liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../../src/liblzma/LzmaLib.c
526 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
527 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/liblzma/LzmaLib.c' object='LzmaLib.o' libtool=no @AMDEPBACKSLASH@
528 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
529 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.o `test -f '$(srcdir)/../../src/liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../../src/liblzma/LzmaLib.c
452530
453531 LzmaLib.obj: $(srcdir)/../../src/liblzma/LzmaLib.c
454 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.obj -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.obj `if test -f '$(srcdir)/../../src/liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../../src/liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/liblzma/LzmaLib.c'; fi`
455 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
456 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../src/liblzma/LzmaLib.c' object='LzmaLib.obj' libtool=no @AMDEPBACKSLASH@
457 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
458 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.obj `if test -f '$(srcdir)/../../src/liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../../src/liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/liblzma/LzmaLib.c'; fi`
532 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.obj -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.obj `if test -f '$(srcdir)/../../src/liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../../src/liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/liblzma/LzmaLib.c'; fi`
533 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
534 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../src/liblzma/LzmaLib.c' object='LzmaLib.obj' libtool=no @AMDEPBACKSLASH@
535 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
536 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.obj `if test -f '$(srcdir)/../../src/liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../../src/liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../src/liblzma/LzmaLib.c'; fi`
459537
460538 .l.c:
461 $(am__skiplex) $(SHELL) $(YLWRAP) $< $(LEX_OUTPUT_ROOT).c $@ -- $(LEXCOMPILE)
539 $(AM_V_LEX)$(am__skiplex) $(SHELL) $(YLWRAP) $< $(LEX_OUTPUT_ROOT).c $@ -- $(LEXCOMPILE)
462540
463541 # This directory's subdirectories are mostly independent; you can cd
464 # into them and run `make' without going through this Makefile.
465 # To change the values of `make' variables: instead of editing Makefiles,
466 # (1) if the variable is set in `config.status', edit `config.status'
467 # (which will cause the Makefiles to be regenerated when you run `make');
468 # (2) otherwise, pass the desired values on the `make' command line.
469 $(RECURSIVE_TARGETS):
542 # into them and run 'make' without going through this Makefile.
543 # To change the values of 'make' variables: instead of editing Makefiles,
544 # (1) if the variable is set in 'config.status', edit 'config.status'
545 # (which will cause the Makefiles to be regenerated when you run 'make');
546 # (2) otherwise, pass the desired values on the 'make' command line.
547 $(am__recursive_targets):
470548 @fail= failcom='exit 1'; \
471549 for f in x $$MAKEFLAGS; do \
472550 case $$f in \
476554 done; \
477555 dot_seen=no; \
478556 target=`echo $@ | sed s/-recursive//`; \
479 list='$(SUBDIRS)'; for subdir in $$list; do \
557 case "$@" in \
558 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
559 *) list='$(SUBDIRS)' ;; \
560 esac; \
561 for subdir in $$list; do \
480562 echo "Making $$target in $$subdir"; \
481563 if test "$$subdir" = "."; then \
482564 dot_seen=yes; \
491573 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
492574 fi; test -z "$$fail"
493575
494 $(RECURSIVE_CLEAN_TARGETS):
495 @fail= failcom='exit 1'; \
496 for f in x $$MAKEFLAGS; do \
497 case $$f in \
498 *=* | --[!k]*);; \
499 *k*) failcom='fail=yes';; \
500 esac; \
501 done; \
502 dot_seen=no; \
503 case "$@" in \
504 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
505 *) list='$(SUBDIRS)' ;; \
506 esac; \
507 rev=''; for subdir in $$list; do \
508 if test "$$subdir" = "."; then :; else \
509 rev="$$subdir $$rev"; \
510 fi; \
511 done; \
512 rev="$$rev ."; \
513 target=`echo $@ | sed s/-recursive//`; \
514 for subdir in $$rev; do \
515 echo "Making $$target in $$subdir"; \
516 if test "$$subdir" = "."; then \
517 local_target="$$target-am"; \
518 else \
519 local_target="$$target"; \
520 fi; \
521 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
522 || eval $$failcom; \
523 done && test -z "$$fail"
524 tags-recursive:
525 list='$(SUBDIRS)'; for subdir in $$list; do \
526 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
527 done
528 ctags-recursive:
529 list='$(SUBDIRS)'; for subdir in $$list; do \
530 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
531 done
532
533 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
534 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
535 unique=`for i in $$list; do \
536 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
537 done | \
538 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
539 END { if (nonempty) { for (i in files) print i; }; }'`; \
540 mkid -fID $$unique
541 tags: TAGS
542
543 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
544 $(TAGS_FILES) $(LISP)
576 ID: $(am__tagged_files)
577 $(am__define_uniq_tagged_files); mkid -fID $$unique
578 tags: tags-recursive
579 TAGS: tags
580
581 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
545582 set x; \
546583 here=`pwd`; \
547584 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
557594 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
558595 fi; \
559596 done; \
560 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
561 unique=`for i in $$list; do \
562 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
563 done | \
564 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
565 END { if (nonempty) { for (i in files) print i; }; }'`; \
597 $(am__define_uniq_tagged_files); \
566598 shift; \
567599 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
568600 test -n "$$unique" || unique=$$empty_fix; \
574606 $$unique; \
575607 fi; \
576608 fi
577 ctags: CTAGS
578 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
579 $(TAGS_FILES) $(LISP)
580 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
581 unique=`for i in $$list; do \
582 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
583 done | \
584 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
585 END { if (nonempty) { for (i in files) print i; }; }'`; \
609 ctags: ctags-recursive
610
611 CTAGS: ctags
612 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
613 $(am__define_uniq_tagged_files); \
586614 test -z "$(CTAGS_ARGS)$$unique" \
587615 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
588616 $$unique
591619 here=`$(am__cd) $(top_builddir) && pwd` \
592620 && $(am__cd) $(top_srcdir) \
593621 && gtags -i $(GTAGS_ARGS) "$$here"
622 cscopelist: cscopelist-recursive
623
624 cscopelist-am: $(am__tagged_files)
625 list='$(am__tagged_files)'; \
626 case "$(srcdir)" in \
627 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
628 *) sdir=$(subdir)/$(srcdir) ;; \
629 esac; \
630 for i in $$list; do \
631 if test -f "$$i"; then \
632 echo "$(subdir)/$$i"; \
633 else \
634 echo "$$sdir/$$i"; \
635 fi; \
636 done >> $(top_builddir)/cscope.files
594637
595638 distclean-tags:
596639 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
627670 done
628671 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
629672 if test "$$subdir" = .; then :; else \
630 test -d "$(distdir)/$$subdir" \
631 || $(MKDIR_P) "$(distdir)/$$subdir" \
632 || exit 1; \
633 fi; \
634 done
635 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
636 if test "$$subdir" = .; then :; else \
673 $(am__make_dryrun) \
674 || test -d "$(distdir)/$$subdir" \
675 || $(MKDIR_P) "$(distdir)/$$subdir" \
676 || exit 1; \
637677 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
638678 $(am__relativize); \
639679 new_distdir=$$reldir; \
673713
674714 installcheck: installcheck-recursive
675715 install-strip:
676 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
677 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
678 `test -z '$(STRIP)' || \
679 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
716 if test -z '$(STRIP)'; then \
717 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
718 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
719 install; \
720 else \
721 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
722 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
723 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
724 fi
680725 mostlyclean-generic:
681726
682727 clean-generic:
759804
760805 uninstall-am: uninstall-binPROGRAMS
761806
762 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) all check \
763 ctags-recursive install install-am install-strip \
764 tags-recursive
765
766 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
767 all all-am check check-am clean clean-binPROGRAMS \
768 clean-generic ctags ctags-recursive distclean \
769 distclean-compile distclean-generic distclean-tags distdir dvi \
770 dvi-am html html-am info info-am install install-am \
771 install-binPROGRAMS install-data install-data-am install-dvi \
772 install-dvi-am install-exec install-exec-am install-html \
773 install-html-am install-info install-info-am install-man \
774 install-pdf install-pdf-am install-ps install-ps-am \
775 install-strip installcheck installcheck-am installdirs \
776 installdirs-am maintainer-clean maintainer-clean-generic \
777 mostlyclean mostlyclean-compile mostlyclean-generic pdf pdf-am \
778 ps ps-am tags tags-recursive uninstall uninstall-am \
779 uninstall-binPROGRAMS
807 .MAKE: $(am__recursive_targets) all check install install-am \
808 install-strip
809
810 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
811 check-am clean clean-binPROGRAMS clean-generic cscopelist-am \
812 ctags ctags-am distclean distclean-compile distclean-generic \
813 distclean-tags distdir dvi dvi-am html html-am info info-am \
814 install install-am install-binPROGRAMS install-data \
815 install-data-am install-dvi install-dvi-am install-exec \
816 install-exec-am install-html install-html-am install-info \
817 install-info-am install-man install-pdf install-pdf-am \
818 install-ps install-ps-am install-strip installcheck \
819 installcheck-am installdirs installdirs-am maintainer-clean \
820 maintainer-clean-generic mostlyclean mostlyclean-compile \
821 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
822 uninstall-am uninstall-binPROGRAMS
780823
781824
782825 definehash.c: vpp_keyword.gperf
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 bin_PROGRAMS = vermin$(EXEEXT)
3551 subdir = contrib/vermin
36 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
5067 verilog.$(OBJEXT) shred.$(OBJEXT)
5168 vermin_OBJECTS = $(am_vermin_OBJECTS)
5269 vermin_LDADD = $(LDADD)
70 AM_V_P = $(am__v_P_@AM_V@)
71 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
72 am__v_P_0 = false
73 am__v_P_1 = :
74 AM_V_GEN = $(am__v_GEN_@AM_V@)
75 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
76 am__v_GEN_0 = @echo " GEN " $@;
77 am__v_GEN_1 =
78 AM_V_at = $(am__v_at_@AM_V@)
79 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
80 am__v_at_0 = @
81 am__v_at_1 =
5382 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5483 depcomp = $(SHELL) $(top_srcdir)/depcomp
5584 am__depfiles_maybe = depfiles
5685 am__mv = mv -f
5786 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5887 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
88 AM_V_CC = $(am__v_CC_@AM_V@)
89 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
90 am__v_CC_0 = @echo " CC " $@;
91 am__v_CC_1 =
5992 CCLD = $(CC)
6093 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
94 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
95 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
96 am__v_CCLD_0 = @echo " CCLD " $@;
97 am__v_CCLD_1 =
6198 SOURCES = $(vermin_SOURCES)
6299 DIST_SOURCES = $(vermin_SOURCES)
100 am__can_run_installinfo = \
101 case $$AM_UPDATE_INFO_DIR in \
102 n|no|NO) false;; \
103 *) (install-info --version) >/dev/null 2>&1;; \
104 esac
105 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
106 # Read a list of newline-separated strings from the standard input,
107 # and print each of them once, without duplicates. Input order is
108 # *not* preserved.
109 am__uniquify_input = $(AWK) '\
110 BEGIN { nonempty = 0; } \
111 { items[$$0] = 1; nonempty = 1; } \
112 END { if (nonempty) { for (i in items) print i; }; } \
113 '
114 # Make sure the list of sources is unique. This is necessary because,
115 # e.g., the same source file might be shared among _SOURCES variables
116 # for different programs/libraries.
117 am__define_uniq_tagged_files = \
118 list='$(am__tagged_files)'; \
119 unique=`for i in $$list; do \
120 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
121 done | $(am__uniquify_input)`
63122 ETAGS = etags
64123 CTAGS = ctags
65124 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
68127 AET2_LDADD = @AET2_LDADD@
69128 ALLOCA = @ALLOCA@
70129 AMTAR = @AMTAR@
130 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
71131 AUTOCONF = @AUTOCONF@
72132 AUTOHEADER = @AUTOHEADER@
73133 AUTOMAKE = @AUTOMAKE@
136196 PACKAGE_NAME = @PACKAGE_NAME@
137197 PACKAGE_STRING = @PACKAGE_STRING@
138198 PACKAGE_TARNAME = @PACKAGE_TARNAME@
199 PACKAGE_URL = @PACKAGE_URL@
139200 PACKAGE_VERSION = @PACKAGE_VERSION@
140201 PATH_SEPARATOR = @PATH_SEPARATOR@
141202 PKG_CONFIG = @PKG_CONFIG@
254315 $(am__aclocal_m4_deps):
255316 install-binPROGRAMS: $(bin_PROGRAMS)
256317 @$(NORMAL_INSTALL)
257 test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
258318 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
319 if test -n "$$list"; then \
320 echo " $(MKDIR_P) '$(DESTDIR)$(bindir)'"; \
321 $(MKDIR_P) "$(DESTDIR)$(bindir)" || exit 1; \
322 fi; \
259323 for p in $$list; do echo "$$p $$p"; done | \
260324 sed 's/$(EXEEXT)$$//' | \
261 while read p p1; do if test -f $$p; \
262 then echo "$$p"; echo "$$p"; else :; fi; \
325 while read p p1; do if test -f $$p \
326 ; then echo "$$p"; echo "$$p"; else :; fi; \
263327 done | \
264 sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
328 sed -e 'p;s,.*/,,;n;h' \
329 -e 's|.*|.|' \
265330 -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
266331 sed 'N;N;N;s,\n, ,g' | \
267332 $(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
282347 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
283348 files=`for p in $$list; do echo "$$p"; done | \
284349 sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
285 -e 's/$$/$(EXEEXT)/' `; \
350 -e 's/$$/$(EXEEXT)/' \
351 `; \
286352 test -n "$$list" || exit 0; \
287353 echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
288354 cd "$(DESTDIR)$(bindir)" && rm -f $$files
289355
290356 clean-binPROGRAMS:
291357 -test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
292 vermin$(EXEEXT): $(vermin_OBJECTS) $(vermin_DEPENDENCIES)
358 vermin$(EXEEXT): $(vermin_OBJECTS) $(vermin_DEPENDENCIES) $(EXTRA_vermin_DEPENDENCIES)
293359 @rm -f vermin$(EXEEXT)
294 $(LINK) $(vermin_OBJECTS) $(vermin_LDADD) $(LIBS)
360 $(AM_V_CCLD)$(LINK) $(vermin_OBJECTS) $(vermin_LDADD) $(LIBS)
295361
296362 mostlyclean-compile:
297363 -rm -f *.$(OBJEXT)
312378 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/verilog.Po@am__quote@
313379
314380 .c.o:
315 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
316 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
317 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
381 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
382 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
383 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
318384 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
319 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
385 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
320386
321387 .c.obj:
322 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
323 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
324 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
388 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
389 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
390 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
325391 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
326 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
392 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
393
394 ID: $(am__tagged_files)
395 $(am__define_uniq_tagged_files); mkid -fID $$unique
396 tags: tags-am
397 TAGS: tags
398
399 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340400 set x; \
341401 here=`pwd`; \
342 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
343 unique=`for i in $$list; do \
344 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
345 done | \
346 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
347 END { if (nonempty) { for (i in files) print i; }; }'`; \
402 $(am__define_uniq_tagged_files); \
348403 shift; \
349404 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
350405 test -n "$$unique" || unique=$$empty_fix; \
356411 $$unique; \
357412 fi; \
358413 fi
359 ctags: CTAGS
360 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
361 $(TAGS_FILES) $(LISP)
362 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
363 unique=`for i in $$list; do \
364 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
365 done | \
366 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
367 END { if (nonempty) { for (i in files) print i; }; }'`; \
414 ctags: ctags-am
415
416 CTAGS: ctags
417 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
418 $(am__define_uniq_tagged_files); \
368419 test -z "$(CTAGS_ARGS)$$unique" \
369420 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
370421 $$unique
373424 here=`$(am__cd) $(top_builddir) && pwd` \
374425 && $(am__cd) $(top_srcdir) \
375426 && gtags -i $(GTAGS_ARGS) "$$here"
427 cscopelist: cscopelist-am
428
429 cscopelist-am: $(am__tagged_files)
430 list='$(am__tagged_files)'; \
431 case "$(srcdir)" in \
432 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
433 *) sdir=$(subdir)/$(srcdir) ;; \
434 esac; \
435 for i in $$list; do \
436 if test -f "$$i"; then \
437 echo "$(subdir)/$$i"; \
438 else \
439 echo "$$sdir/$$i"; \
440 fi; \
441 done >> $(top_builddir)/cscope.files
376442
377443 distclean-tags:
378444 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
426492
427493 installcheck: installcheck-am
428494 install-strip:
429 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
430 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
431 `test -z '$(STRIP)' || \
432 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
495 if test -z '$(STRIP)'; then \
496 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
497 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
498 install; \
499 else \
500 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
501 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
502 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
503 fi
433504 mostlyclean-generic:
434505
435506 clean-generic:
513584
514585 .MAKE: all check install install-am install-strip
515586
516 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
517 clean-generic ctags distclean distclean-compile \
518 distclean-generic distclean-tags distdir dvi dvi-am html \
519 html-am info info-am install install-am install-binPROGRAMS \
520 install-data install-data-am install-dvi install-dvi-am \
521 install-exec install-exec-am install-html install-html-am \
522 install-info install-info-am install-man install-pdf \
523 install-pdf-am install-ps install-ps-am install-strip \
524 installcheck installcheck-am installdirs maintainer-clean \
525 maintainer-clean-generic mostlyclean mostlyclean-compile \
526 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
527 uninstall-am uninstall-binPROGRAMS
587 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean \
588 clean-binPROGRAMS clean-generic cscopelist-am ctags ctags-am \
589 distclean distclean-compile distclean-generic distclean-tags \
590 distdir dvi dvi-am html html-am info info-am install \
591 install-am install-binPROGRAMS install-data install-data-am \
592 install-dvi install-dvi-am install-exec install-exec-am \
593 install-html install-html-am install-info install-info-am \
594 install-man install-pdf install-pdf-am install-ps \
595 install-ps-am install-strip installcheck installcheck-am \
596 installdirs maintainer-clean maintainer-clean-generic \
597 mostlyclean mostlyclean-compile mostlyclean-generic pdf pdf-am \
598 ps ps-am tags tags-am uninstall uninstall-am \
599 uninstall-binPROGRAMS
528600
529601
530602 verilog.c parser.dlg tokens.h err.c : $(srcdir)/verilog.g
+455
-187
depcomp less more
00 #! /bin/sh
11 # depcomp - compile a program generating dependencies as side-effects
22
3 scriptversion=2004-05-31.23
4
5 # Copyright (C) 1999, 2000, 2003, 2004 Free Software Foundation, Inc.
3 scriptversion=2012-10-18.11; # UTC
4
5 # Copyright (C) 1999-2013 Free Software Foundation, Inc.
66
77 # This program is free software; you can redistribute it and/or modify
88 # it under the terms of the GNU General Public License as published by
1515 # GNU General Public License for more details.
1616
1717 # You should have received a copy of the GNU General Public License
18 # along with this program; if not, write to the Free Software
19 # Foundation, Inc., 51 Franklin Street - Suite 500, Boston, MA
20 # 02110-1335, USA.
18 # along with this program. If not, see <http://www.gnu.org/licenses/>.
2119
2220 # As a special exception to the GNU General Public License, if you
2321 # distribute this file as part of a program that contains a
2826
2927 case $1 in
3028 '')
31 echo "$0: No command. Try \`$0 --help' for more information." 1>&2
32 exit 1;
33 ;;
29 echo "$0: No command. Try '$0 --help' for more information." 1>&2
30 exit 1;
31 ;;
3432 -h | --h*)
3533 cat <<\EOF
3634 Usage: depcomp [--help] [--version] PROGRAM [ARGS]
4038
4139 Environment variables:
4240 depmode Dependency tracking mode.
43 source Source file read by `PROGRAMS ARGS'.
44 object Object file output by `PROGRAMS ARGS'.
41 source Source file read by 'PROGRAMS ARGS'.
42 object Object file output by 'PROGRAMS ARGS'.
4543 DEPDIR directory where to store dependencies.
4644 depfile Dependency file to output.
47 tmpdepfile Temporary file to use when outputing dependencies.
45 tmpdepfile Temporary file to use when outputting dependencies.
4846 libtool Whether libtool is used (yes/no).
4947
5048 Report bugs to <bug-automake@gnu.org>.
5149 EOF
52 exit 0
50 exit $?
5351 ;;
5452 -v | --v*)
5553 echo "depcomp $scriptversion"
56 exit 0
54 exit $?
5755 ;;
5856 esac
57
58 # Get the directory component of the given path, and save it in the
59 # global variables '$dir'. Note that this directory component will
60 # be either empty or ending with a '/' character. This is deliberate.
61 set_dir_from ()
62 {
63 case $1 in
64 */*) dir=`echo "$1" | sed -e 's|/[^/]*$|/|'`;;
65 *) dir=;;
66 esac
67 }
68
69 # Get the suffix-stripped basename of the given path, and save it the
70 # global variable '$base'.
71 set_base_from ()
72 {
73 base=`echo "$1" | sed -e 's|^.*/||' -e 's/\.[^.]*$//'`
74 }
75
76 # If no dependency file was actually created by the compiler invocation,
77 # we still have to create a dummy depfile, to avoid errors with the
78 # Makefile "include basename.Plo" scheme.
79 make_dummy_depfile ()
80 {
81 echo "#dummy" > "$depfile"
82 }
83
84 # Factor out some common post-processing of the generated depfile.
85 # Requires the auxiliary global variable '$tmpdepfile' to be set.
86 aix_post_process_depfile ()
87 {
88 # If the compiler actually managed to produce a dependency file,
89 # post-process it.
90 if test -f "$tmpdepfile"; then
91 # Each line is of the form 'foo.o: dependency.h'.
92 # Do two passes, one to just change these to
93 # $object: dependency.h
94 # and one to simply output
95 # dependency.h:
96 # which is needed to avoid the deleted-header problem.
97 { sed -e "s,^.*\.[$lower]*:,$object:," < "$tmpdepfile"
98 sed -e "s,^.*\.[$lower]*:[$tab ]*,," -e 's,$,:,' < "$tmpdepfile"
99 } > "$depfile"
100 rm -f "$tmpdepfile"
101 else
102 make_dummy_depfile
103 fi
104 }
105
106 # A tabulation character.
107 tab=' '
108 # A newline character.
109 nl='
110 '
111 # Character ranges might be problematic outside the C locale.
112 # These definitions help.
113 upper=ABCDEFGHIJKLMNOPQRSTUVWXYZ
114 lower=abcdefghijklmnopqrstuvwxyz
115 digits=0123456789
116 alpha=${upper}${lower}
59117
60118 if test -z "$depmode" || test -z "$source" || test -z "$object"; then
61119 echo "depcomp: Variables source, object and depmode must be set" 1>&2
68126 tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
69127
70128 rm -f "$tmpdepfile"
129
130 # Avoid interferences from the environment.
131 gccflag= dashmflag=
71132
72133 # Some modes work just like other modes, but use different flags. We
73134 # parameterize here, but still list the modes in the big case below,
80141 fi
81142
82143 if test "$depmode" = dashXmstdout; then
83 # This is just like dashmstdout with a different argument.
84 dashmflag=-xM
85 depmode=dashmstdout
144 # This is just like dashmstdout with a different argument.
145 dashmflag=-xM
146 depmode=dashmstdout
147 fi
148
149 cygpath_u="cygpath -u -f -"
150 if test "$depmode" = msvcmsys; then
151 # This is just like msvisualcpp but w/o cygpath translation.
152 # Just convert the backslash-escaped backslashes to single forward
153 # slashes to satisfy depend.m4
154 cygpath_u='sed s,\\\\,/,g'
155 depmode=msvisualcpp
156 fi
157
158 if test "$depmode" = msvc7msys; then
159 # This is just like msvc7 but w/o cygpath translation.
160 # Just convert the backslash-escaped backslashes to single forward
161 # slashes to satisfy depend.m4
162 cygpath_u='sed s,\\\\,/,g'
163 depmode=msvc7
164 fi
165
166 if test "$depmode" = xlc; then
167 # IBM C/C++ Compilers xlc/xlC can output gcc-like dependency information.
168 gccflag=-qmakedep=gcc,-MF
169 depmode=gcc
86170 fi
87171
88172 case "$depmode" in
90174 ## gcc 3 implements dependency tracking that does exactly what
91175 ## we want. Yay! Note: for some reason libtool 1.4 doesn't like
92176 ## it if -MD -MP comes after the -MF stuff. Hmm.
93 "$@" -MT "$object" -MD -MP -MF "$tmpdepfile"
177 ## Unfortunately, FreeBSD c89 acceptance of flags depends upon
178 ## the command line argument order; so add the flags where they
179 ## appear in depend2.am. Note that the slowdown incurred here
180 ## affects only configure: in makefiles, %FASTDEP% shortcuts this.
181 for arg
182 do
183 case $arg in
184 -c) set fnord "$@" -MT "$object" -MD -MP -MF "$tmpdepfile" "$arg" ;;
185 *) set fnord "$@" "$arg" ;;
186 esac
187 shift # fnord
188 shift # $arg
189 done
190 "$@"
94191 stat=$?
95 if test $stat -eq 0; then :
96 else
192 if test $stat -ne 0; then
97193 rm -f "$tmpdepfile"
98194 exit $stat
99195 fi
101197 ;;
102198
103199 gcc)
200 ## Note that this doesn't just cater to obsosete pre-3.x GCC compilers.
201 ## but also to in-use compilers like IMB xlc/xlC and the HP C compiler.
202 ## (see the conditional assignment to $gccflag above).
104203 ## There are various ways to get dependency output from gcc. Here's
105204 ## why we pick this rather obscure method:
106205 ## - Don't want to use -MD because we'd like the dependencies to end
107206 ## up in a subdir. Having to rename by hand is ugly.
108207 ## (We might end up doing this anyway to support other compilers.)
109208 ## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like
110 ## -MM, not -M (despite what the docs say).
209 ## -MM, not -M (despite what the docs say). Also, it might not be
210 ## supported by the other compilers which use the 'gcc' depmode.
111211 ## - Using -M directly means running the compiler twice (even worse
112212 ## than renaming).
113213 if test -z "$gccflag"; then
115215 fi
116216 "$@" -Wp,"$gccflag$tmpdepfile"
117217 stat=$?
118 if test $stat -eq 0; then :
119 else
218 if test $stat -ne 0; then
120219 rm -f "$tmpdepfile"
121220 exit $stat
122221 fi
123222 rm -f "$depfile"
124223 echo "$object : \\" > "$depfile"
125 alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz
126 ## The second -e expression handles DOS-style file names with drive letters.
224 # The second -e expression handles DOS-style file names with drive
225 # letters.
127226 sed -e 's/^[^:]*: / /' \
128227 -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile"
129 ## This next piece of magic avoids the `deleted header file' problem.
228 ## This next piece of magic avoids the "deleted header file" problem.
130229 ## The problem is that when a header file which appears in a .P file
131230 ## is deleted, the dependency causes make to die (because there is
132231 ## typically no way to rebuild the header). We avoid this by adding
133232 ## dummy dependencies for each header file. Too bad gcc doesn't do
134233 ## this for us directly.
135 tr ' ' '
136 ' < "$tmpdepfile" |
137 ## Some versions of gcc put a space before the `:'. On the theory
234 ## Some versions of gcc put a space before the ':'. On the theory
138235 ## that the space means something, we add a space to the output as
139 ## well.
236 ## well. hp depmode also adds that space, but also prefixes the VPATH
237 ## to the object. Take care to not repeat it in the output.
140238 ## Some versions of the HPUX 10.20 sed can't process this invocation
141239 ## correctly. Breaking it into two sed invocations is a workaround.
142 sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
240 tr ' ' "$nl" < "$tmpdepfile" \
241 | sed -e 's/^\\$//' -e '/^$/d' -e "s|.*$object$||" -e '/:$/d' \
242 | sed -e 's/$/ :/' >> "$depfile"
143243 rm -f "$tmpdepfile"
144244 ;;
145245
157257 "$@" -MDupdate "$tmpdepfile"
158258 fi
159259 stat=$?
160 if test $stat -eq 0; then :
161 else
260 if test $stat -ne 0; then
162261 rm -f "$tmpdepfile"
163262 exit $stat
164263 fi
166265
167266 if test -f "$tmpdepfile"; then # yes, the sourcefile depend on other files
168267 echo "$object : \\" > "$depfile"
169
170268 # Clip off the initial element (the dependent). Don't try to be
171269 # clever and replace this with sed code, as IRIX sed won't handle
172270 # lines with more than a fixed number of characters (4096 in
173271 # IRIX 6.2 sed, 8192 in IRIX 6.5). We also remove comment lines;
174 # the IRIX cc adds comments like `#:fec' to the end of the
272 # the IRIX cc adds comments like '#:fec' to the end of the
175273 # dependency line.
176 tr ' ' '
177 ' < "$tmpdepfile" \
178 | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \
179 tr '
180 ' ' ' >> $depfile
181 echo >> $depfile
182
274 tr ' ' "$nl" < "$tmpdepfile" \
275 | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' \
276 | tr "$nl" ' ' >> "$depfile"
277 echo >> "$depfile"
183278 # The second pass generates a dummy entry for each header file.
184 tr ' ' '
185 ' < "$tmpdepfile" \
186 | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
187 >> $depfile
279 tr ' ' "$nl" < "$tmpdepfile" \
280 | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
281 >> "$depfile"
188282 else
189 # The sourcefile does not contain any dependencies, so just
190 # store a dummy comment line, to avoid errors with the Makefile
191 # "include basename.Plo" scheme.
192 echo "#dummy" > "$depfile"
283 make_dummy_depfile
193284 fi
194285 rm -f "$tmpdepfile"
286 ;;
287
288 xlc)
289 # This case exists only to let depend.m4 do its work. It works by
290 # looking at the text of this script. This case will never be run,
291 # since it is checked for above.
292 exit 1
195293 ;;
196294
197295 aix)
198296 # The C for AIX Compiler uses -M and outputs the dependencies
199297 # in a .u file. In older versions, this file always lives in the
200 # current directory. Also, the AIX compiler puts `$object:' at the
298 # current directory. Also, the AIX compiler puts '$object:' at the
201299 # start of each line; $object doesn't have directory information.
202300 # Version 6 uses the directory in both cases.
203 stripped=`echo "$object" | sed 's/\(.*\)\..*$/\1/'`
204 tmpdepfile="$stripped.u"
205 if test "$libtool" = yes; then
301 set_dir_from "$object"
302 set_base_from "$object"
303 if test "$libtool" = yes; then
304 tmpdepfile1=$dir$base.u
305 tmpdepfile2=$base.u
306 tmpdepfile3=$dir.libs/$base.u
206307 "$@" -Wc,-M
207308 else
309 tmpdepfile1=$dir$base.u
310 tmpdepfile2=$dir$base.u
311 tmpdepfile3=$dir$base.u
208312 "$@" -M
209313 fi
210314 stat=$?
211
212 if test -f "$tmpdepfile"; then :
213 else
214 stripped=`echo "$stripped" | sed 's,^.*/,,'`
215 tmpdepfile="$stripped.u"
216 fi
217
218 if test $stat -eq 0; then :
219 else
315 if test $stat -ne 0; then
316 rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
317 exit $stat
318 fi
319
320 for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
321 do
322 test -f "$tmpdepfile" && break
323 done
324 aix_post_process_depfile
325 ;;
326
327 tcc)
328 # tcc (Tiny C Compiler) understand '-MD -MF file' since version 0.9.26
329 # FIXME: That version still under development at the moment of writing.
330 # Make that this statement remains true also for stable, released
331 # versions.
332 # It will wrap lines (doesn't matter whether long or short) with a
333 # trailing '\', as in:
334 #
335 # foo.o : \
336 # foo.c \
337 # foo.h \
338 #
339 # It will put a trailing '\' even on the last line, and will use leading
340 # spaces rather than leading tabs (at least since its commit 0394caf7
341 # "Emit spaces for -MD").
342 "$@" -MD -MF "$tmpdepfile"
343 stat=$?
344 if test $stat -ne 0; then
220345 rm -f "$tmpdepfile"
221346 exit $stat
222347 fi
223
224 if test -f "$tmpdepfile"; then
225 outname="$stripped.o"
226 # Each line is of the form `foo.o: dependent.h'.
227 # Do two passes, one to just change these to
228 # `$object: dependent.h' and one to simply `dependent.h:'.
229 sed -e "s,^$outname:,$object :," < "$tmpdepfile" > "$depfile"
230 sed -e "s,^$outname: \(.*\)$,\1:," < "$tmpdepfile" >> "$depfile"
231 else
232 # The sourcefile does not contain any dependencies, so just
233 # store a dummy comment line, to avoid errors with the Makefile
234 # "include basename.Plo" scheme.
235 echo "#dummy" > "$depfile"
236 fi
348 rm -f "$depfile"
349 # Each non-empty line is of the form 'foo.o : \' or ' dep.h \'.
350 # We have to change lines of the first kind to '$object: \'.
351 sed -e "s|.*:|$object :|" < "$tmpdepfile" > "$depfile"
352 # And for each line of the second kind, we have to emit a 'dep.h:'
353 # dummy dependency, to avoid the deleted-header problem.
354 sed -n -e 's|^ *\(.*\) *\\$|\1:|p' < "$tmpdepfile" >> "$depfile"
237355 rm -f "$tmpdepfile"
238356 ;;
239357
240 icc)
241 # Intel's C compiler understands `-MD -MF file'. However on
242 # icc -MD -MF foo.d -c -o sub/foo.o sub/foo.c
243 # ICC 7.0 will fill foo.d with something like
244 # foo.o: sub/foo.c
245 # foo.o: sub/foo.h
246 # which is wrong. We want:
247 # sub/foo.o: sub/foo.c
248 # sub/foo.o: sub/foo.h
249 # sub/foo.c:
250 # sub/foo.h:
251 # ICC 7.1 will output
358 ## The order of this option in the case statement is important, since the
359 ## shell code in configure will try each of these formats in the order
360 ## listed in this file. A plain '-MD' option would be understood by many
361 ## compilers, so we must ensure this comes after the gcc and icc options.
362 pgcc)
363 # Portland's C compiler understands '-MD'.
364 # Will always output deps to 'file.d' where file is the root name of the
365 # source file under compilation, even if file resides in a subdirectory.
366 # The object file name does not affect the name of the '.d' file.
367 # pgcc 10.2 will output
252368 # foo.o: sub/foo.c sub/foo.h
253 # and will wrap long lines using \ :
369 # and will wrap long lines using '\' :
254370 # foo.o: sub/foo.c ... \
255371 # sub/foo.h ... \
256372 # ...
257
258 "$@" -MD -MF "$tmpdepfile"
259 stat=$?
260 if test $stat -eq 0; then :
261 else
373 set_dir_from "$object"
374 # Use the source, not the object, to determine the base name, since
375 # that's sadly what pgcc will do too.
376 set_base_from "$source"
377 tmpdepfile=$base.d
378
379 # For projects that build the same source file twice into different object
380 # files, the pgcc approach of using the *source* file root name can cause
381 # problems in parallel builds. Use a locking strategy to avoid stomping on
382 # the same $tmpdepfile.
383 lockdir=$base.d-lock
384 trap "
385 echo '$0: caught signal, cleaning up...' >&2
386 rmdir '$lockdir'
387 exit 1
388 " 1 2 13 15
389 numtries=100
390 i=$numtries
391 while test $i -gt 0; do
392 # mkdir is a portable test-and-set.
393 if mkdir "$lockdir" 2>/dev/null; then
394 # This process acquired the lock.
395 "$@" -MD
396 stat=$?
397 # Release the lock.
398 rmdir "$lockdir"
399 break
400 else
401 # If the lock is being held by a different process, wait
402 # until the winning process is done or we timeout.
403 while test -d "$lockdir" && test $i -gt 0; do
404 sleep 1
405 i=`expr $i - 1`
406 done
407 fi
408 i=`expr $i - 1`
409 done
410 trap - 1 2 13 15
411 if test $i -le 0; then
412 echo "$0: failed to acquire lock after $numtries attempts" >&2
413 echo "$0: check lockdir '$lockdir'" >&2
414 exit 1
415 fi
416
417 if test $stat -ne 0; then
262418 rm -f "$tmpdepfile"
263419 exit $stat
264420 fi
270426 sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile"
271427 # Some versions of the HPUX 10.20 sed can't process this invocation
272428 # correctly. Breaking it into two sed invocations is a workaround.
273 sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" |
274 sed -e 's/$/ :/' >> "$depfile"
429 sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" \
430 | sed -e 's/$/ :/' >> "$depfile"
275431 rm -f "$tmpdepfile"
276432 ;;
277433
434 hp2)
435 # The "hp" stanza above does not work with aCC (C++) and HP's ia64
436 # compilers, which have integrated preprocessors. The correct option
437 # to use with these is +Maked; it writes dependencies to a file named
438 # 'foo.d', which lands next to the object file, wherever that
439 # happens to be.
440 # Much of this is similar to the tru64 case; see comments there.
441 set_dir_from "$object"
442 set_base_from "$object"
443 if test "$libtool" = yes; then
444 tmpdepfile1=$dir$base.d
445 tmpdepfile2=$dir.libs/$base.d
446 "$@" -Wc,+Maked
447 else
448 tmpdepfile1=$dir$base.d
449 tmpdepfile2=$dir$base.d
450 "$@" +Maked
451 fi
452 stat=$?
453 if test $stat -ne 0; then
454 rm -f "$tmpdepfile1" "$tmpdepfile2"
455 exit $stat
456 fi
457
458 for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2"
459 do
460 test -f "$tmpdepfile" && break
461 done
462 if test -f "$tmpdepfile"; then
463 sed -e "s,^.*\.[$lower]*:,$object:," "$tmpdepfile" > "$depfile"
464 # Add 'dependent.h:' lines.
465 sed -ne '2,${
466 s/^ *//
467 s/ \\*$//
468 s/$/:/
469 p
470 }' "$tmpdepfile" >> "$depfile"
471 else
472 make_dummy_depfile
473 fi
474 rm -f "$tmpdepfile" "$tmpdepfile2"
475 ;;
476
278477 tru64)
279 # The Tru64 compiler uses -MD to generate dependencies as a side
280 # effect. `cc -MD -o foo.o ...' puts the dependencies into `foo.o.d'.
281 # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
282 # dependencies in `foo.d' instead, so we check for that too.
283 # Subdirectories are respected.
284 dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
285 test "x$dir" = "x$object" && dir=
286 base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
287
288 if test "$libtool" = yes; then
289 # Dependencies are output in .lo.d with libtool 1.4.
290 # With libtool 1.5 they are output both in $dir.libs/$base.o.d
291 # and in $dir.libs/$base.o.d and $dir$base.o.d. We process the
292 # latter, because the former will be cleaned when $dir.libs is
293 # erased.
294 tmpdepfile1="$dir.libs/$base.lo.d"
295 tmpdepfile2="$dir$base.o.d"
296 tmpdepfile3="$dir.libs/$base.d"
297 "$@" -Wc,-MD
298 else
299 tmpdepfile1="$dir$base.o.d"
300 tmpdepfile2="$dir$base.d"
301 tmpdepfile3="$dir$base.d"
302 "$@" -MD
303 fi
304
305 stat=$?
306 if test $stat -eq 0; then :
307 else
308 rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
309 exit $stat
310 fi
311
312 if test -f "$tmpdepfile1"; then
313 tmpdepfile="$tmpdepfile1"
314 elif test -f "$tmpdepfile2"; then
315 tmpdepfile="$tmpdepfile2"
316 else
317 tmpdepfile="$tmpdepfile3"
318 fi
319 if test -f "$tmpdepfile"; then
320 sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
321 # That's a tab and a space in the [].
322 sed -e 's,^.*\.[a-z]*:[ ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
323 else
324 echo "#dummy" > "$depfile"
325 fi
326 rm -f "$tmpdepfile"
327 ;;
478 # The Tru64 compiler uses -MD to generate dependencies as a side
479 # effect. 'cc -MD -o foo.o ...' puts the dependencies into 'foo.o.d'.
480 # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
481 # dependencies in 'foo.d' instead, so we check for that too.
482 # Subdirectories are respected.
483 set_dir_from "$object"
484 set_base_from "$object"
485
486 if test "$libtool" = yes; then
487 # Libtool generates 2 separate objects for the 2 libraries. These
488 # two compilations output dependencies in $dir.libs/$base.o.d and
489 # in $dir$base.o.d. We have to check for both files, because
490 # one of the two compilations can be disabled. We should prefer
491 # $dir$base.o.d over $dir.libs/$base.o.d because the latter is
492 # automatically cleaned when .libs/ is deleted, while ignoring
493 # the former would cause a distcleancheck panic.
494 tmpdepfile1=$dir$base.o.d # libtool 1.5
495 tmpdepfile2=$dir.libs/$base.o.d # Likewise.
496 tmpdepfile3=$dir.libs/$base.d # Compaq CCC V6.2-504
497 "$@" -Wc,-MD
498 else
499 tmpdepfile1=$dir$base.d
500 tmpdepfile2=$dir$base.d
501 tmpdepfile3=$dir$base.d
502 "$@" -MD
503 fi
504
505 stat=$?
506 if test $stat -ne 0; then
507 rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
508 exit $stat
509 fi
510
511 for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
512 do
513 test -f "$tmpdepfile" && break
514 done
515 # Same post-processing that is required for AIX mode.
516 aix_post_process_depfile
517 ;;
518
519 msvc7)
520 if test "$libtool" = yes; then
521 showIncludes=-Wc,-showIncludes
522 else
523 showIncludes=-showIncludes
524 fi
525 "$@" $showIncludes > "$tmpdepfile"
526 stat=$?
527 grep -v '^Note: including file: ' "$tmpdepfile"
528 if test $stat -ne 0; then
529 rm -f "$tmpdepfile"
530 exit $stat
531 fi
532 rm -f "$depfile"
533 echo "$object : \\" > "$depfile"
534 # The first sed program below extracts the file names and escapes
535 # backslashes for cygpath. The second sed program outputs the file
536 # name when reading, but also accumulates all include files in the
537 # hold buffer in order to output them again at the end. This only
538 # works with sed implementations that can handle large buffers.
539 sed < "$tmpdepfile" -n '
540 /^Note: including file: *\(.*\)/ {
541 s//\1/
542 s/\\/\\\\/g
543 p
544 }' | $cygpath_u | sort -u | sed -n '
545 s/ /\\ /g
546 s/\(.*\)/'"$tab"'\1 \\/p
547 s/.\(.*\) \\/\1:/
548 H
549 $ {
550 s/.*/'"$tab"'/
551 G
552 p
553 }' >> "$depfile"
554 rm -f "$tmpdepfile"
555 ;;
556
557 msvc7msys)
558 # This case exists only to let depend.m4 do its work. It works by
559 # looking at the text of this script. This case will never be run,
560 # since it is checked for above.
561 exit 1
562 ;;
328563
329564 #nosideeffect)
330565 # This comment above is used by automake to tell side-effect
337572
338573 # Remove the call to Libtool.
339574 if test "$libtool" = yes; then
340 while test $1 != '--mode=compile'; do
575 while test "X$1" != 'X--mode=compile'; do
341576 shift
342577 done
343578 shift
344579 fi
345580
346 # Remove `-o $object'.
581 # Remove '-o $object'.
347582 IFS=" "
348583 for arg
349584 do
363598 done
364599
365600 test -z "$dashmflag" && dashmflag=-M
366 # Require at least two characters before searching for `:'
601 # Require at least two characters before searching for ':'
367602 # in the target name. This is to cope with DOS-style filenames:
368 # a dependency such as `c:/foo/bar' could be seen as target `c' otherwise.
603 # a dependency such as 'c:/foo/bar' could be seen as target 'c' otherwise.
369604 "$@" $dashmflag |
370 sed 's:^[ ]*[^: ][^:][^:]*\:[ ]*:'"$object"'\: :' > "$tmpdepfile"
605 sed "s|^[$tab ]*[^:$tab ][^:][^:]*:[$tab ]*|$object: |" > "$tmpdepfile"
371606 rm -f "$depfile"
372607 cat < "$tmpdepfile" > "$depfile"
373 tr ' ' '
374 ' < "$tmpdepfile" | \
375 ## Some versions of the HPUX 10.20 sed can't process this invocation
376 ## correctly. Breaking it into two sed invocations is a workaround.
377 sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
608 # Some versions of the HPUX 10.20 sed can't process this sed invocation
609 # correctly. Breaking it into two sed invocations is a workaround.
610 tr ' ' "$nl" < "$tmpdepfile" \
611 | sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' \
612 | sed -e 's/$/ :/' >> "$depfile"
378613 rm -f "$tmpdepfile"
379614 ;;
380615
388623 "$@" || exit $?
389624 # Remove any Libtool call
390625 if test "$libtool" = yes; then
391 while test $1 != '--mode=compile'; do
626 while test "X$1" != 'X--mode=compile'; do
392627 shift
393628 done
394629 shift
395630 fi
396631 # X makedepend
397632 shift
398 cleared=no
399 for arg in "$@"; do
633 cleared=no eat=no
634 for arg
635 do
400636 case $cleared in
401637 no)
402638 set ""; shift
403639 cleared=yes ;;
404640 esac
641 if test $eat = yes; then
642 eat=no
643 continue
644 fi
405645 case "$arg" in
406646 -D*|-I*)
407647 set fnord "$@" "$arg"; shift ;;
408648 # Strip any option that makedepend may not understand. Remove
409649 # the object too, otherwise makedepend will parse it as a source file.
650 -arch)
651 eat=yes ;;
410652 -*|$object)
411653 ;;
412654 *)
413655 set fnord "$@" "$arg"; shift ;;
414656 esac
415657 done
416 obj_suffix="`echo $object | sed 's/^.*\././'`"
658 obj_suffix=`echo "$object" | sed 's/^.*\././'`
417659 touch "$tmpdepfile"
418660 ${MAKEDEPEND-makedepend} -o"$obj_suffix" -f"$tmpdepfile" "$@"
419661 rm -f "$depfile"
420 cat < "$tmpdepfile" > "$depfile"
421 sed '1,2d' "$tmpdepfile" | tr ' ' '
422 ' | \
423 ## Some versions of the HPUX 10.20 sed can't process this invocation
424 ## correctly. Breaking it into two sed invocations is a workaround.
425 sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
662 # makedepend may prepend the VPATH from the source file name to the object.
663 # No need to regex-escape $object, excess matching of '.' is harmless.
664 sed "s|^.*\($object *:\)|\1|" "$tmpdepfile" > "$depfile"
665 # Some versions of the HPUX 10.20 sed can't process the last invocation
666 # correctly. Breaking it into two sed invocations is a workaround.
667 sed '1,2d' "$tmpdepfile" \
668 | tr ' ' "$nl" \
669 | sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' \
670 | sed -e 's/$/ :/' >> "$depfile"
426671 rm -f "$tmpdepfile" "$tmpdepfile".bak
427672 ;;
428673
433678
434679 # Remove the call to Libtool.
435680 if test "$libtool" = yes; then
436 while test $1 != '--mode=compile'; do
681 while test "X$1" != 'X--mode=compile'; do
437682 shift
438683 done
439684 shift
440685 fi
441686
442 # Remove `-o $object'.
687 # Remove '-o $object'.
443688 IFS=" "
444689 for arg
445690 do
458703 esac
459704 done
460705
461 "$@" -E |
462 sed -n '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' |
463 sed '$ s: \\$::' > "$tmpdepfile"
706 "$@" -E \
707 | sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
708 -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
709 | sed '$ s: \\$::' > "$tmpdepfile"
464710 rm -f "$depfile"
465711 echo "$object : \\" > "$depfile"
466712 cat < "$tmpdepfile" >> "$depfile"
470716
471717 msvisualcpp)
472718 # Important note: in order to support this mode, a compiler *must*
473 # always write the preprocessed file to stdout, regardless of -o,
474 # because we must use -o when running libtool.
719 # always write the preprocessed file to stdout.
475720 "$@" || exit $?
721
722 # Remove the call to Libtool.
723 if test "$libtool" = yes; then
724 while test "X$1" != 'X--mode=compile'; do
725 shift
726 done
727 shift
728 fi
729
476730 IFS=" "
477731 for arg
478732 do
479733 case "$arg" in
734 -o)
735 shift
736 ;;
737 $object)
738 shift
739 ;;
480740 "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI")
481 set fnord "$@"
482 shift
483 shift
484 ;;
741 set fnord "$@"
742 shift
743 shift
744 ;;
485745 *)
486 set fnord "$@" "$arg"
487 shift
488 shift
489 ;;
746 set fnord "$@" "$arg"
747 shift
748 shift
749 ;;
490750 esac
491751 done
492 "$@" -E |
493 sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::echo "`cygpath -u \\"\1\\"`":p' | sort | uniq > "$tmpdepfile"
752 "$@" -E 2>/dev/null |
753 sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::\1:p' | $cygpath_u | sort -u > "$tmpdepfile"
494754 rm -f "$depfile"
495755 echo "$object : \\" > "$depfile"
496 . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s:: \1 \\:p' >> "$depfile"
497 echo " " >> "$depfile"
498 . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::\1\::p' >> "$depfile"
756 sed < "$tmpdepfile" -n -e 's% %\\ %g' -e '/^\(.*\)$/ s::'"$tab"'\1 \\:p' >> "$depfile"
757 echo "$tab" >> "$depfile"
758 sed < "$tmpdepfile" -n -e 's% %\\ %g' -e '/^\(.*\)$/ s::\1\::p' >> "$depfile"
499759 rm -f "$tmpdepfile"
760 ;;
761
762 msvcmsys)
763 # This case exists only to let depend.m4 do its work. It works by
764 # looking at the text of this script. This case will never be run,
765 # since it is checked for above.
766 exit 1
500767 ;;
501768
502769 none)
517784 # eval: (add-hook 'write-file-hooks 'time-stamp)
518785 # time-stamp-start: "scriptversion="
519786 # time-stamp-format: "%:y-%02m-%02d.%02H"
520 # time-stamp-end: "$"
787 # time-stamp-time-zone: "UTC"
788 # time-stamp-end: "; # UTC"
521789 # End:
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = doc
35 DIST_COMMON = $(dist_pkgdata_DATA) $(srcdir)/Makefile.am \
36 $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(dist_pkgdata_DATA)
3753 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3854 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3955 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4258 CONFIG_HEADER = $(top_builddir)/config.h
4359 CONFIG_CLEAN_FILES =
4460 CONFIG_CLEAN_VPATH_FILES =
61 AM_V_P = $(am__v_P_@AM_V@)
62 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
63 am__v_P_0 = false
64 am__v_P_1 = :
65 AM_V_GEN = $(am__v_GEN_@AM_V@)
66 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
67 am__v_GEN_0 = @echo " GEN " $@;
68 am__v_GEN_1 =
69 AM_V_at = $(am__v_at_@AM_V@)
70 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
71 am__v_at_0 = @
72 am__v_at_1 =
4573 SOURCES =
4674 DIST_SOURCES =
75 am__can_run_installinfo = \
76 case $$AM_UPDATE_INFO_DIR in \
77 n|no|NO) false;; \
78 *) (install-info --version) >/dev/null 2>&1;; \
79 esac
4780 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4881 am__vpath_adj = case $$p in \
4982 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6598 am__base_list = \
6699 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
67100 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
101 am__uninstall_files_from_dir = { \
102 test -z "$$files" \
103 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
104 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
105 $(am__cd) "$$dir" && rm -f $$files; }; \
106 }
68107 am__installdirs = "$(DESTDIR)$(pkgdatadir)"
69108 DATA = $(dist_pkgdata_DATA)
109 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
70110 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
71111 ACLOCAL = @ACLOCAL@
72112 AET2_CFLAGS = @AET2_CFLAGS@
73113 AET2_LDADD = @AET2_LDADD@
74114 ALLOCA = @ALLOCA@
75115 AMTAR = @AMTAR@
116 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
76117 AUTOCONF = @AUTOCONF@
77118 AUTOHEADER = @AUTOHEADER@
78119 AUTOMAKE = @AUTOMAKE@
141182 PACKAGE_NAME = @PACKAGE_NAME@
142183 PACKAGE_STRING = @PACKAGE_STRING@
143184 PACKAGE_TARNAME = @PACKAGE_TARNAME@
185 PACKAGE_URL = @PACKAGE_URL@
144186 PACKAGE_VERSION = @PACKAGE_VERSION@
145187 PATH_SEPARATOR = @PATH_SEPARATOR@
146188 PKG_CONFIG = @PKG_CONFIG@
241283 $(am__aclocal_m4_deps):
242284 install-dist_pkgdataDATA: $(dist_pkgdata_DATA)
243285 @$(NORMAL_INSTALL)
244 test -z "$(pkgdatadir)" || $(MKDIR_P) "$(DESTDIR)$(pkgdatadir)"
245286 @list='$(dist_pkgdata_DATA)'; test -n "$(pkgdatadir)" || list=; \
287 if test -n "$$list"; then \
288 echo " $(MKDIR_P) '$(DESTDIR)$(pkgdatadir)'"; \
289 $(MKDIR_P) "$(DESTDIR)$(pkgdatadir)" || exit 1; \
290 fi; \
246291 for p in $$list; do \
247292 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
248293 echo "$$d$$p"; \
256301 @$(NORMAL_UNINSTALL)
257302 @list='$(dist_pkgdata_DATA)'; test -n "$(pkgdatadir)" || list=; \
258303 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
259 test -n "$$files" || exit 0; \
260 echo " ( cd '$(DESTDIR)$(pkgdatadir)' && rm -f" $$files ")"; \
261 cd "$(DESTDIR)$(pkgdatadir)" && rm -f $$files
262 tags: TAGS
263 TAGS:
264
265 ctags: CTAGS
266 CTAGS:
304 dir='$(DESTDIR)$(pkgdatadir)'; $(am__uninstall_files_from_dir)
305 tags TAGS:
306
307 ctags CTAGS:
308
309 cscope cscopelist:
267310
268311
269312 distdir: $(DISTFILES)
313356
314357 installcheck: installcheck-am
315358 install-strip:
316 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
317 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
318 `test -z '$(STRIP)' || \
319 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
359 if test -z '$(STRIP)'; then \
360 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
361 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
362 install; \
363 else \
364 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
365 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
366 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
367 fi
320368 mostlyclean-generic:
321369
322370 clean-generic:
396444
397445 .MAKE: install-am install-strip
398446
399 .PHONY: all all-am check check-am clean clean-generic distclean \
400 distclean-generic distdir dvi dvi-am html html-am info info-am \
401 install install-am install-data install-data-am \
402 install-dist_pkgdataDATA install-dvi install-dvi-am \
403 install-exec install-exec-am install-html install-html-am \
404 install-info install-info-am install-man install-pdf \
405 install-pdf-am install-ps install-ps-am install-strip \
406 installcheck installcheck-am installdirs maintainer-clean \
407 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
408 pdf-am ps ps-am uninstall uninstall-am \
409 uninstall-dist_pkgdataDATA
447 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
448 ctags-am distclean distclean-generic distdir dvi dvi-am html \
449 html-am info info-am install install-am install-data \
450 install-data-am install-dist_pkgdataDATA install-dvi \
451 install-dvi-am install-exec install-exec-am install-html \
452 install-html-am install-info install-info-am install-man \
453 install-pdf install-pdf-am install-ps install-ps-am \
454 install-strip installcheck installcheck-am installdirs \
455 maintainer-clean maintainer-clean-generic mostlyclean \
456 mostlyclean-generic pdf pdf-am ps ps-am tags-am uninstall \
457 uninstall-am uninstall-dist_pkgdataDATA
410458
411459
412460 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = examples
35 DIST_COMMON = $(dist_examples_DATA) $(srcdir)/Makefile.am \
36 $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(dist_examples_DATA)
3753 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3854 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3955 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4258 CONFIG_HEADER = $(top_builddir)/config.h
4359 CONFIG_CLEAN_FILES =
4460 CONFIG_CLEAN_VPATH_FILES =
61 AM_V_P = $(am__v_P_@AM_V@)
62 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
63 am__v_P_0 = false
64 am__v_P_1 = :
65 AM_V_GEN = $(am__v_GEN_@AM_V@)
66 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
67 am__v_GEN_0 = @echo " GEN " $@;
68 am__v_GEN_1 =
69 AM_V_at = $(am__v_at_@AM_V@)
70 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
71 am__v_at_0 = @
72 am__v_at_1 =
4573 SOURCES =
4674 DIST_SOURCES =
75 am__can_run_installinfo = \
76 case $$AM_UPDATE_INFO_DIR in \
77 n|no|NO) false;; \
78 *) (install-info --version) >/dev/null 2>&1;; \
79 esac
4780 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4881 am__vpath_adj = case $$p in \
4982 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6598 am__base_list = \
6699 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
67100 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
101 am__uninstall_files_from_dir = { \
102 test -z "$$files" \
103 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
104 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
105 $(am__cd) "$$dir" && rm -f $$files; }; \
106 }
68107 am__installdirs = "$(DESTDIR)$(examplesdir)"
69108 DATA = $(dist_examples_DATA)
109 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
70110 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
71111 ACLOCAL = @ACLOCAL@
72112 AET2_CFLAGS = @AET2_CFLAGS@
73113 AET2_LDADD = @AET2_LDADD@
74114 ALLOCA = @ALLOCA@
75115 AMTAR = @AMTAR@
116 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
76117 AUTOCONF = @AUTOCONF@
77118 AUTOHEADER = @AUTOHEADER@
78119 AUTOMAKE = @AUTOMAKE@
141182 PACKAGE_NAME = @PACKAGE_NAME@
142183 PACKAGE_STRING = @PACKAGE_STRING@
143184 PACKAGE_TARNAME = @PACKAGE_TARNAME@
185 PACKAGE_URL = @PACKAGE_URL@
144186 PACKAGE_VERSION = @PACKAGE_VERSION@
145187 PATH_SEPARATOR = @PATH_SEPARATOR@
146188 PKG_CONFIG = @PKG_CONFIG@
242284 $(am__aclocal_m4_deps):
243285 install-dist_examplesDATA: $(dist_examples_DATA)
244286 @$(NORMAL_INSTALL)
245 test -z "$(examplesdir)" || $(MKDIR_P) "$(DESTDIR)$(examplesdir)"
246287 @list='$(dist_examples_DATA)'; test -n "$(examplesdir)" || list=; \
288 if test -n "$$list"; then \
289 echo " $(MKDIR_P) '$(DESTDIR)$(examplesdir)'"; \
290 $(MKDIR_P) "$(DESTDIR)$(examplesdir)" || exit 1; \
291 fi; \
247292 for p in $$list; do \
248293 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
249294 echo "$$d$$p"; \
257302 @$(NORMAL_UNINSTALL)
258303 @list='$(dist_examples_DATA)'; test -n "$(examplesdir)" || list=; \
259304 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
260 test -n "$$files" || exit 0; \
261 echo " ( cd '$(DESTDIR)$(examplesdir)' && rm -f" $$files ")"; \
262 cd "$(DESTDIR)$(examplesdir)" && rm -f $$files
263 tags: TAGS
264 TAGS:
265
266 ctags: CTAGS
267 CTAGS:
305 dir='$(DESTDIR)$(examplesdir)'; $(am__uninstall_files_from_dir)
306 tags TAGS:
307
308 ctags CTAGS:
309
310 cscope cscopelist:
268311
269312
270313 distdir: $(DISTFILES)
314357
315358 installcheck: installcheck-am
316359 install-strip:
317 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
318 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
319 `test -z '$(STRIP)' || \
320 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
360 if test -z '$(STRIP)'; then \
361 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
362 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
363 install; \
364 else \
365 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
366 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
367 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
368 fi
321369 mostlyclean-generic:
322370
323371 clean-generic:
397445
398446 .MAKE: install-am install-strip
399447
400 .PHONY: all all-am check check-am clean clean-generic distclean \
401 distclean-generic distdir dvi dvi-am html html-am info info-am \
402 install install-am install-data install-data-am \
403 install-dist_examplesDATA install-dvi install-dvi-am \
404 install-exec install-exec-am install-html install-html-am \
405 install-info install-info-am install-man install-pdf \
406 install-pdf-am install-ps install-ps-am install-strip \
407 installcheck installcheck-am installdirs maintainer-clean \
408 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
409 pdf-am ps ps-am uninstall uninstall-am \
410 uninstall-dist_examplesDATA
448 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
449 ctags-am distclean distclean-generic distdir dvi dvi-am html \
450 html-am info info-am install install-am install-data \
451 install-data-am install-dist_examplesDATA install-dvi \
452 install-dvi-am install-exec install-exec-am install-html \
453 install-html-am install-info install-info-am install-man \
454 install-pdf install-pdf-am install-ps install-ps-am \
455 install-strip installcheck installcheck-am installdirs \
456 maintainer-clean maintainer-clean-generic mostlyclean \
457 mostlyclean-generic pdf pdf-am ps ps-am tags-am uninstall \
458 uninstall-am uninstall-dist_examplesDATA
411459
412460
413461 # Tell versions [3.59,3.63) of GNU make to not export all variables.
00 #!/bin/sh
11 # install - install a program, script, or datafile
22
3 scriptversion=2006-10-14.15
3 scriptversion=2011-11-20.07; # UTC
44
55 # This originates from X11R5 (mit/util/scripts/install.sh), which was
66 # later released in X11R6 (xc/config/util/install.sh) with the
3434 # FSF changes to this file are in the public domain.
3535 #
3636 # Calling this script install-sh is preferred over install.sh, to prevent
37 # `make' implicit rules from creating a file called install from it
37 # 'make' implicit rules from creating a file called install from it
3838 # when there is no Makefile.
3939 #
4040 # This script is compatible with the BSD install script, but was written
4747 # set DOITPROG to echo to test this script
4848
4949 # Don't use :- since 4.3BSD and earlier shells don't like it.
50 doit="${DOITPROG-}"
50 doit=${DOITPROG-}
5151 if test -z "$doit"; then
5252 doit_exec=exec
5353 else
5757 # Put in absolute file names if you don't have them in your path;
5858 # or use environment vars.
5959
60 mvprog="${MVPROG-mv}"
61 cpprog="${CPPROG-cp}"
62 chmodprog="${CHMODPROG-chmod}"
63 chownprog="${CHOWNPROG-chown}"
64 chgrpprog="${CHGRPPROG-chgrp}"
65 stripprog="${STRIPPROG-strip}"
66 rmprog="${RMPROG-rm}"
67 mkdirprog="${MKDIRPROG-mkdir}"
68
69 posix_glob=
60 chgrpprog=${CHGRPPROG-chgrp}
61 chmodprog=${CHMODPROG-chmod}
62 chownprog=${CHOWNPROG-chown}
63 cmpprog=${CMPPROG-cmp}
64 cpprog=${CPPROG-cp}
65 mkdirprog=${MKDIRPROG-mkdir}
66 mvprog=${MVPROG-mv}
67 rmprog=${RMPROG-rm}
68 stripprog=${STRIPPROG-strip}
69
70 posix_glob='?'
71 initialize_posix_glob='
72 test "$posix_glob" != "?" || {
73 if (set -f) 2>/dev/null; then
74 posix_glob=
75 else
76 posix_glob=:
77 fi
78 }
79 '
80
7081 posix_mkdir=
7182
7283 # Desired mode of installed file.
7384 mode=0755
7485
86 chgrpcmd=
7587 chmodcmd=$chmodprog
7688 chowncmd=
77 chgrpcmd=
89 mvcmd=$mvprog
90 rmcmd="$rmprog -f"
7891 stripcmd=
79 rmcmd="$rmprog -f"
80 mvcmd="$mvprog"
92
8193 src=
8294 dst=
8395 dir_arg=
84 dstarg=
96 dst_arg=
97
98 copy_on_change=false
8599 no_target_directory=
86100
87 usage="Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
101 usage="\
102 Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
88103 or: $0 [OPTION]... SRCFILES... DIRECTORY
89104 or: $0 [OPTION]... -t DIRECTORY SRCFILES...
90105 or: $0 [OPTION]... -d DIRECTORIES...
94109 In the 4th, create DIRECTORIES.
95110
96111 Options:
97 -c (ignored)
98 -d create directories instead of installing files.
99 -g GROUP $chgrpprog installed files to GROUP.
100 -m MODE $chmodprog installed files to MODE.
101 -o USER $chownprog installed files to USER.
102 -s $stripprog installed files.
103 -t DIRECTORY install into DIRECTORY.
104 -T report an error if DSTFILE is a directory.
105 --help display this help and exit.
106 --version display version info and exit.
112 --help display this help and exit.
113 --version display version info and exit.
114
115 -c (ignored)
116 -C install only if different (preserve the last data modification time)
117 -d create directories instead of installing files.
118 -g GROUP $chgrpprog installed files to GROUP.
119 -m MODE $chmodprog installed files to MODE.
120 -o USER $chownprog installed files to USER.
121 -s $stripprog installed files.
122 -t DIRECTORY install into DIRECTORY.
123 -T report an error if DSTFILE is a directory.
107124
108125 Environment variables override the default commands:
109 CHGRPPROG CHMODPROG CHOWNPROG CPPROG MKDIRPROG MVPROG RMPROG STRIPPROG
126 CHGRPPROG CHMODPROG CHOWNPROG CMPPROG CPPROG MKDIRPROG MVPROG
127 RMPROG STRIPPROG
110128 "
111129
112130 while test $# -ne 0; do
113131 case $1 in
114 -c) shift
115 continue;;
116
117 -d) dir_arg=true
118 shift
119 continue;;
132 -c) ;;
133
134 -C) copy_on_change=true;;
135
136 -d) dir_arg=true;;
120137
121138 -g) chgrpcmd="$chgrpprog $2"
122 shift
123 shift
124 continue;;
139 shift;;
125140
126141 --help) echo "$usage"; exit $?;;
127142
128143 -m) mode=$2
129 shift
130 shift
131144 case $mode in
132145 *' '* | *' '* | *'
133146 '* | *'*'* | *'?'* | *'['*)
134147 echo "$0: invalid mode: $mode" >&2
135148 exit 1;;
136149 esac
137 continue;;
150 shift;;
138151
139152 -o) chowncmd="$chownprog $2"
140 shift
141 shift
142 continue;;
143
144 -s) stripcmd=$stripprog
145 shift
146 continue;;
147
148 -t) dstarg=$2
149 shift
150 shift
151 continue;;
152
153 -T) no_target_directory=true
154 shift
155 continue;;
153 shift;;
154
155 -s) stripcmd=$stripprog;;
156
157 -t) dst_arg=$2
158 # Protect names problematic for 'test' and other utilities.
159 case $dst_arg in
160 -* | [=\(\)!]) dst_arg=./$dst_arg;;
161 esac
162 shift;;
163
164 -T) no_target_directory=true;;
156165
157166 --version) echo "$0 $scriptversion"; exit $?;;
158167
164173
165174 *) break;;
166175 esac
176 shift
167177 done
168178
169 if test $# -ne 0 && test -z "$dir_arg$dstarg"; then
179 if test $# -ne 0 && test -z "$dir_arg$dst_arg"; then
170180 # When -d is used, all remaining arguments are directories to create.
171181 # When -t is used, the destination is already specified.
172182 # Otherwise, the last argument is the destination. Remove it from $@.
173183 for arg
174184 do
175 if test -n "$dstarg"; then
185 if test -n "$dst_arg"; then
176186 # $@ is not empty: it contains at least $arg.
177 set fnord "$@" "$dstarg"
187 set fnord "$@" "$dst_arg"
178188 shift # fnord
179189 fi
180190 shift # arg
181 dstarg=$arg
191 dst_arg=$arg
192 # Protect names problematic for 'test' and other utilities.
193 case $dst_arg in
194 -* | [=\(\)!]) dst_arg=./$dst_arg;;
195 esac
182196 done
183197 fi
184198
187201 echo "$0: no input file specified." >&2
188202 exit 1
189203 fi
190 # It's OK to call `install-sh -d' without argument.
204 # It's OK to call 'install-sh -d' without argument.
191205 # This can happen when creating conditional directories.
192206 exit 0
193207 fi
194208
195209 if test -z "$dir_arg"; then
196 trap '(exit $?); exit' 1 2 13 15
210 do_exit='(exit $ret); exit $ret'
211 trap "ret=129; $do_exit" 1
212 trap "ret=130; $do_exit" 2
213 trap "ret=141; $do_exit" 13
214 trap "ret=143; $do_exit" 15
197215
198216 # Set umask so as not to create temps with too-generous modes.
199217 # However, 'strip' requires both read and write access to temps.
221239
222240 for src
223241 do
224 # Protect names starting with `-'.
242 # Protect names problematic for 'test' and other utilities.
225243 case $src in
226 -*) src=./$src ;;
244 -* | [=\(\)!]) src=./$src;;
227245 esac
228246
229247 if test -n "$dir_arg"; then
241259 exit 1
242260 fi
243261
244 if test -z "$dstarg"; then
262 if test -z "$dst_arg"; then
245263 echo "$0: no destination specified." >&2
246264 exit 1
247265 fi
248
249 dst=$dstarg
250 # Protect names starting with `-'.
251 case $dst in
252 -*) dst=./$dst ;;
253 esac
266 dst=$dst_arg
254267
255268 # If destination is a directory, append the input filename; won't work
256269 # if double slashes aren't ignored.
257270 if test -d "$dst"; then
258271 if test -n "$no_target_directory"; then
259 echo "$0: $dstarg: Is a directory" >&2
272 echo "$0: $dst_arg: Is a directory" >&2
260273 exit 1
261274 fi
262275 dstdir=$dst
340353 if test -z "$dir_arg" || {
341354 # Check for POSIX incompatibilities with -m.
342355 # HP-UX 11.23 and IRIX 6.5 mkdir -m -p sets group- or
343 # other-writeable bit of parent directory when it shouldn't.
356 # other-writable bit of parent directory when it shouldn't.
344357 # FreeBSD 6.1 mkdir -m -p sets mode of existing directory.
345358 ls_ld_tmpdir=`ls -ld "$tmpdir"`
346359 case $ls_ld_tmpdir in
377390 # directory the slow way, step by step, checking for races as we go.
378391
379392 case $dstdir in
380 /*) prefix=/ ;;
381 -*) prefix=./ ;;
382 *) prefix= ;;
393 /*) prefix='/';;
394 [-=\(\)!]*) prefix='./';;
395 *) prefix='';;
383396 esac
384397
385 case $posix_glob in
386 '')
387 if (set -f) 2>/dev/null; then
388 posix_glob=true
389 else
390 posix_glob=false
391 fi ;;
392 esac
398 eval "$initialize_posix_glob"
393399
394400 oIFS=$IFS
395401 IFS=/
396 $posix_glob && set -f
402 $posix_glob set -f
397403 set fnord $dstdir
398404 shift
399 $posix_glob && set +f
405 $posix_glob set +f
400406 IFS=$oIFS
401407
402408 prefixes=
403409
404410 for d
405411 do
406 test -z "$d" && continue
412 test X"$d" = X && continue
407413
408414 prefix=$prefix$d
409415 if test -d "$prefix"; then
458464 # ignore errors from any of these, just make sure not to ignore
459465 # errors from the above "$doit $cpprog $src $dsttmp" command.
460466 #
461 { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } \
462 && { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } \
463 && { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } \
464 && { test -z "$chmodcmd" || $doit $chmodcmd $mode "$dsttmp"; } &&
465
466 # Now rename the file to the real destination.
467 { $doit $mvcmd -f "$dsttmp" "$dst" 2>/dev/null \
468 || {
469 # The rename failed, perhaps because mv can't rename something else
470 # to itself, or perhaps because mv is so ancient that it does not
471 # support -f.
472
473 # Now remove or move aside any old file at destination location.
474 # We try this two ways since rm can't unlink itself on some
475 # systems and the destination file might be busy for other
476 # reasons. In this case, the final cleanup might fail but the new
477 # file should still install successfully.
478 {
479 if test -f "$dst"; then
480 $doit $rmcmd -f "$dst" 2>/dev/null \
481 || { $doit $mvcmd -f "$dst" "$rmtmp" 2>/dev/null \
482 && { $doit $rmcmd -f "$rmtmp" 2>/dev/null; :; }; }\
483 || {
484 echo "$0: cannot unlink or rename $dst" >&2
485 (exit 1); exit 1
486 }
487 else
488 :
489 fi
490 } &&
491
492 # Now rename the file to the real destination.
493 $doit $mvcmd "$dsttmp" "$dst"
494 }
495 } || exit 1
467 { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } &&
468 { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } &&
469 { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } &&
470 { test -z "$chmodcmd" || $doit $chmodcmd $mode "$dsttmp"; } &&
471
472 # If -C, don't bother to copy if it wouldn't change the file.
473 if $copy_on_change &&
474 old=`LC_ALL=C ls -dlL "$dst" 2>/dev/null` &&
475 new=`LC_ALL=C ls -dlL "$dsttmp" 2>/dev/null` &&
476
477 eval "$initialize_posix_glob" &&
478 $posix_glob set -f &&
479 set X $old && old=:$2:$4:$5:$6 &&
480 set X $new && new=:$2:$4:$5:$6 &&
481 $posix_glob set +f &&
482
483 test "$old" = "$new" &&
484 $cmpprog "$dst" "$dsttmp" >/dev/null 2>&1
485 then
486 rm -f "$dsttmp"
487 else
488 # Rename the file to the real destination.
489 $doit $mvcmd -f "$dsttmp" "$dst" 2>/dev/null ||
490
491 # The rename failed, perhaps because mv can't rename something else
492 # to itself, or perhaps because mv is so ancient that it does not
493 # support -f.
494 {
495 # Now remove or move aside any old file at destination location.
496 # We try this two ways since rm can't unlink itself on some
497 # systems and the destination file might be busy for other
498 # reasons. In this case, the final cleanup might fail but the new
499 # file should still install successfully.
500 {
501 test ! -f "$dst" ||
502 $doit $rmcmd -f "$dst" 2>/dev/null ||
503 { $doit $mvcmd -f "$dst" "$rmtmp" 2>/dev/null &&
504 { $doit $rmcmd -f "$rmtmp" 2>/dev/null; :; }
505 } ||
506 { echo "$0: cannot unlink or rename $dst" >&2
507 (exit 1); exit 1
508 }
509 } &&
510
511 # Now rename the file to the real destination.
512 $doit $mvcmd "$dsttmp" "$dst"
513 }
514 fi || exit 1
496515
497516 trap '' 0
498517 fi
502521 # eval: (add-hook 'write-file-hooks 'time-stamp)
503522 # time-stamp-start: "scriptversion="
504523 # time-stamp-format: "%:y-%02m-%02d.%02H"
505 # time-stamp-end: "$"
524 # time-stamp-time-zone: "UTC"
525 # time-stamp-end: "; # UTC"
506526 # End:
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = man
34 DIST_COMMON = $(dist_man_MANS) $(srcdir)/Makefile.am \
35 $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
51 $(dist_man_MANS)
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
74 am__can_run_installinfo = \
75 case $$AM_UPDATE_INFO_DIR in \
76 n|no|NO) false;; \
77 *) (install-info --version) >/dev/null 2>&1;; \
78 esac
4679 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4780 am__vpath_adj = case $$p in \
4881 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6497 am__base_list = \
6598 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
6699 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
100 am__uninstall_files_from_dir = { \
101 test -z "$$files" \
102 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
103 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
104 $(am__cd) "$$dir" && rm -f $$files; }; \
105 }
67106 man1dir = $(mandir)/man1
68107 am__installdirs = "$(DESTDIR)$(man1dir)" "$(DESTDIR)$(man5dir)"
69108 man5dir = $(mandir)/man5
70109 NROFF = nroff
71110 MANS = $(dist_man_MANS)
111 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
72112 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
73113 ACLOCAL = @ACLOCAL@
74114 AET2_CFLAGS = @AET2_CFLAGS@
75115 AET2_LDADD = @AET2_LDADD@
76116 ALLOCA = @ALLOCA@
77117 AMTAR = @AMTAR@
118 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
78119 AUTOCONF = @AUTOCONF@
79120 AUTOHEADER = @AUTOHEADER@
80121 AUTOMAKE = @AUTOMAKE@
143184 PACKAGE_NAME = @PACKAGE_NAME@
144185 PACKAGE_STRING = @PACKAGE_STRING@
145186 PACKAGE_TARNAME = @PACKAGE_TARNAME@
187 PACKAGE_URL = @PACKAGE_URL@
146188 PACKAGE_VERSION = @PACKAGE_VERSION@
147189 PATH_SEPARATOR = @PATH_SEPARATOR@
148190 PKG_CONFIG = @PKG_CONFIG@
247289 $(am__aclocal_m4_deps):
248290 install-man1: $(dist_man_MANS)
249291 @$(NORMAL_INSTALL)
250 test -z "$(man1dir)" || $(MKDIR_P) "$(DESTDIR)$(man1dir)"
251 @list=''; test -n "$(man1dir)" || exit 0; \
252 { for i in $$list; do echo "$$i"; done; \
253 l2='$(dist_man_MANS)'; for i in $$l2; do echo "$$i"; done | \
254 sed -n '/\.1[a-z]*$$/p'; \
292 @list1=''; \
293 list2='$(dist_man_MANS)'; \
294 test -n "$(man1dir)" \
295 && test -n "`echo $$list1$$list2`" \
296 || exit 0; \
297 echo " $(MKDIR_P) '$(DESTDIR)$(man1dir)'"; \
298 $(MKDIR_P) "$(DESTDIR)$(man1dir)" || exit 1; \
299 { for i in $$list1; do echo "$$i"; done; \
300 if test -n "$$list2"; then \
301 for i in $$list2; do echo "$$i"; done \
302 | sed -n '/\.1[a-z]*$$/p'; \
303 fi; \
255304 } | while read p; do \
256305 if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
257306 echo "$$d$$p"; echo "$$p"; \
280329 sed -n '/\.1[a-z]*$$/p'; \
281330 } | sed -e 's,.*/,,;h;s,.*\.,,;s,^[^1][0-9a-z]*$$,1,;x' \
282331 -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,'`; \
283 test -z "$$files" || { \
284 echo " ( cd '$(DESTDIR)$(man1dir)' && rm -f" $$files ")"; \
285 cd "$(DESTDIR)$(man1dir)" && rm -f $$files; }
332 dir='$(DESTDIR)$(man1dir)'; $(am__uninstall_files_from_dir)
286333 install-man5: $(dist_man_MANS)
287334 @$(NORMAL_INSTALL)
288 test -z "$(man5dir)" || $(MKDIR_P) "$(DESTDIR)$(man5dir)"
289 @list=''; test -n "$(man5dir)" || exit 0; \
290 { for i in $$list; do echo "$$i"; done; \
291 l2='$(dist_man_MANS)'; for i in $$l2; do echo "$$i"; done | \
292 sed -n '/\.5[a-z]*$$/p'; \
335 @list1=''; \
336 list2='$(dist_man_MANS)'; \
337 test -n "$(man5dir)" \
338 && test -n "`echo $$list1$$list2`" \
339 || exit 0; \
340 echo " $(MKDIR_P) '$(DESTDIR)$(man5dir)'"; \
341 $(MKDIR_P) "$(DESTDIR)$(man5dir)" || exit 1; \
342 { for i in $$list1; do echo "$$i"; done; \
343 if test -n "$$list2"; then \
344 for i in $$list2; do echo "$$i"; done \
345 | sed -n '/\.5[a-z]*$$/p'; \
346 fi; \
293347 } | while read p; do \
294348 if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
295349 echo "$$d$$p"; echo "$$p"; \
318372 sed -n '/\.5[a-z]*$$/p'; \
319373 } | sed -e 's,.*/,,;h;s,.*\.,,;s,^[^5][0-9a-z]*$$,5,;x' \
320374 -e 's,\.[0-9a-z]*$$,,;$(transform);G;s,\n,.,'`; \
321 test -z "$$files" || { \
322 echo " ( cd '$(DESTDIR)$(man5dir)' && rm -f" $$files ")"; \
323 cd "$(DESTDIR)$(man5dir)" && rm -f $$files; }
324 tags: TAGS
325 TAGS:
326
327 ctags: CTAGS
328 CTAGS:
375 dir='$(DESTDIR)$(man5dir)'; $(am__uninstall_files_from_dir)
376 tags TAGS:
377
378 ctags CTAGS:
379
380 cscope cscopelist:
329381
330382
331383 distdir: $(DISTFILES)
332 @list='$(MANS)'; if test -n "$$list"; then \
333 list=`for p in $$list; do \
334 if test -f $$p; then d=; else d="$(srcdir)/"; fi; \
335 if test -f "$$d$$p"; then echo "$$d$$p"; else :; fi; done`; \
336 if test -n "$$list" && \
337 grep 'ab help2man is required to generate this page' $$list >/dev/null; then \
338 echo "error: found man pages containing the \`missing help2man' replacement text:" >&2; \
339 grep -l 'ab help2man is required to generate this page' $$list | sed 's/^/ /' >&2; \
340 echo " to fix them, install help2man, remove and regenerate the man pages;" >&2; \
341 echo " typically \`make maintainer-clean' will remove them" >&2; \
342 exit 1; \
343 else :; fi; \
344 else :; fi
345384 @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
346385 topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
347386 list='$(DISTFILES)'; \
388427
389428 installcheck: installcheck-am
390429 install-strip:
391 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
392 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
393 `test -z '$(STRIP)' || \
394 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
430 if test -z '$(STRIP)'; then \
431 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
432 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
433 install; \
434 else \
435 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
436 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
437 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
438 fi
395439 mostlyclean-generic:
396440
397441 clean-generic:
473517
474518 .MAKE: install-am install-strip
475519
476 .PHONY: all all-am check check-am clean clean-generic distclean \
477 distclean-generic distdir dvi dvi-am html html-am info info-am \
478 install install-am install-data install-data-am install-dvi \
479 install-dvi-am install-exec install-exec-am install-html \
480 install-html-am install-info install-info-am install-man \
481 install-man1 install-man5 install-pdf install-pdf-am \
482 install-ps install-ps-am install-strip installcheck \
483 installcheck-am installdirs maintainer-clean \
484 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
485 pdf-am ps ps-am uninstall uninstall-am uninstall-man \
486 uninstall-man1 uninstall-man5
520 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
521 ctags-am distclean distclean-generic distdir dvi dvi-am html \
522 html-am info info-am install install-am install-data \
523 install-data-am install-dvi install-dvi-am install-exec \
524 install-exec-am install-html install-html-am install-info \
525 install-info-am install-man install-man1 install-man5 \
526 install-pdf install-pdf-am install-ps install-ps-am \
527 install-strip installcheck installcheck-am installdirs \
528 maintainer-clean maintainer-clean-generic mostlyclean \
529 mostlyclean-generic pdf pdf-am ps ps-am tags-am uninstall \
530 uninstall-am uninstall-man uninstall-man1 uninstall-man5
487531
488532
489533 # Tell versions [3.59,3.63) of GNU make to not export all variables.
+161
-299
missing less more
00 #! /bin/sh
1 # Common stub for a few missing GNU programs while installing.
2
3 scriptversion=2004-09-07.08
4
5 # Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004
6 # Free Software Foundation, Inc.
7 # Originally by Fran,cois Pinard <pinard@iro.umontreal.ca>, 1996.
1 # Common wrapper for a few potentially missing GNU programs.
2
3 scriptversion=2012-06-26.16; # UTC
4
5 # Copyright (C) 1996-2013 Free Software Foundation, Inc.
6 # Originally written by Fran,cois Pinard <pinard@iro.umontreal.ca>, 1996.
87
98 # This program is free software; you can redistribute it and/or modify
109 # it under the terms of the GNU General Public License as published by
1716 # GNU General Public License for more details.
1817
1918 # You should have received a copy of the GNU General Public License
20 # along with this program; if not, write to the Free Software
21 # Foundation, Inc., 51 Franklin Street, Suite 500, Boston, MA
22 # 02110-1335, USA.
19 # along with this program. If not, see <http://www.gnu.org/licenses/>.
2320
2421 # As a special exception to the GNU General Public License, if you
2522 # distribute this file as part of a program that contains a
2724 # the same distribution terms that you use for the rest of that program.
2825
2926 if test $# -eq 0; then
30 echo 1>&2 "Try \`$0 --help' for more information"
27 echo 1>&2 "Try '$0 --help' for more information"
3128 exit 1
3229 fi
3330
34 run=:
35
36 # In the cases where this matters, `missing' is being run in the
37 # srcdir already.
38 if test -f configure.ac; then
39 configure_ac=configure.ac
40 else
41 configure_ac=configure.in
42 fi
43
44 msg="missing on your system"
45
46 case "$1" in
47 --run)
48 # Try to run requested program, and just exit if it succeeds.
49 run=
50 shift
51 "$@" && exit 0
52 # Exit code 63 means version mismatch. This often happens
53 # when the user try to use an ancient version of a tool on
54 # a file that requires a minimum version. In this case we
55 # we should proceed has if the program had been absent, or
56 # if --run hadn't been passed.
57 if test $? = 63; then
58 run=:
59 msg="probably too old"
60 fi
61 ;;
31 case $1 in
32
33 --is-lightweight)
34 # Used by our autoconf macros to check whether the available missing
35 # script is modern enough.
36 exit 0
37 ;;
38
39 --run)
40 # Back-compat with the calling convention used by older automake.
41 shift
42 ;;
6243
6344 -h|--h|--he|--hel|--help)
6445 echo "\
6546 $0 [OPTION]... PROGRAM [ARGUMENT]...
6647
67 Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an
68 error status if there is no known handling for PROGRAM.
48 Run 'PROGRAM [ARGUMENT]...', returning a proper advice when this fails due
49 to PROGRAM being missing or too old.
6950
7051 Options:
7152 -h, --help display this help and exit
7253 -v, --version output version information and exit
73 --run try to run the given command, and emulate it if it fails
7454
7555 Supported PROGRAM values:
76 aclocal touch file \`aclocal.m4'
77 autoconf touch file \`configure'
78 autoheader touch file \`config.h.in'
79 automake touch all \`Makefile.in' files
80 bison create \`y.tab.[ch]', if possible, from existing .[ch]
81 flex create \`lex.yy.c', if possible, from existing .c
82 help2man touch the output file
83 lex create \`lex.yy.c', if possible, from existing .c
84 makeinfo touch the output file
85 tar try tar, gnutar, gtar, then tar without non-portable flags
86 yacc create \`y.tab.[ch]', if possible, from existing .[ch]
56 aclocal autoconf autoheader autom4te automake makeinfo
57 bison yacc flex lex help2man
58
59 Version suffixes to PROGRAM as well as the prefixes 'gnu-', 'gnu', and
60 'g' are ignored when checking the name.
8761
8862 Send bug reports to <bug-automake@gnu.org>."
89 exit 0
63 exit $?
9064 ;;
9165
9266 -v|--v|--ve|--ver|--vers|--versi|--versio|--version)
9367 echo "missing $scriptversion (GNU Automake)"
94 exit 0
68 exit $?
9569 ;;
9670
9771 -*)
98 echo 1>&2 "$0: Unknown \`$1' option"
99 echo 1>&2 "Try \`$0 --help' for more information"
72 echo 1>&2 "$0: unknown '$1' option"
73 echo 1>&2 "Try '$0 --help' for more information"
10074 exit 1
10175 ;;
10276
10377 esac
10478
105 # Now exit if we have it, but it failed. Also exit now if we
106 # don't have it and --version was passed (most likely to detect
107 # the program).
108 case "$1" in
109 lex|yacc)
110 # Not GNU programs, they don't have --version.
111 ;;
112
113 tar)
114 if test -n "$run"; then
115 echo 1>&2 "ERROR: \`tar' requires --run"
116 exit 1
117 elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
118 exit 1
119 fi
120 ;;
121
122 *)
123 if test -z "$run" && ($1 --version) > /dev/null 2>&1; then
124 # We have it, but it failed.
125 exit 1
126 elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
127 # Could not run --version or --help. This is probably someone
128 # running `$TOOL --version' or `$TOOL --help' to check whether
129 # $TOOL exists and not knowing $TOOL uses missing.
130 exit 1
131 fi
132 ;;
133 esac
134
135 # If it does not exist, or fails to run (possibly an outdated version),
136 # try to emulate it.
137 case "$1" in
138 aclocal*)
139 echo 1>&2 "\
140 WARNING: \`$1' is $msg. You should only need it if
141 you modified \`acinclude.m4' or \`${configure_ac}'. You might want
142 to install the \`Automake' and \`Perl' packages. Grab them from
143 any GNU archive site."
144 touch aclocal.m4
145 ;;
146
147 autoconf)
148 echo 1>&2 "\
149 WARNING: \`$1' is $msg. You should only need it if
150 you modified \`${configure_ac}'. You might want to install the
151 \`Autoconf' and \`GNU m4' packages. Grab them from any GNU
152 archive site."
153 touch configure
154 ;;
155
156 autoheader)
157 echo 1>&2 "\
158 WARNING: \`$1' is $msg. You should only need it if
159 you modified \`acconfig.h' or \`${configure_ac}'. You might want
160 to install the \`Autoconf' and \`GNU m4' packages. Grab them
161 from any GNU archive site."
162 files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
163 test -z "$files" && files="config.h"
164 touch_files=
165 for f in $files; do
166 case "$f" in
167 *:*) touch_files="$touch_files "`echo "$f" |
168 sed -e 's/^[^:]*://' -e 's/:.*//'`;;
169 *) touch_files="$touch_files $f.in";;
170 esac
171 done
172 touch $touch_files
173 ;;
174
175 automake*)
176 echo 1>&2 "\
177 WARNING: \`$1' is $msg. You should only need it if
178 you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'.
179 You might want to install the \`Automake' and \`Perl' packages.
180 Grab them from any GNU archive site."
181 find . -type f -name Makefile.am -print |
182 sed 's/\.am$/.in/' |
183 while read f; do touch "$f"; done
184 ;;
185
186 autom4te)
187 echo 1>&2 "\
188 WARNING: \`$1' is needed, but is $msg.
189 You might have modified some files without having the
190 proper tools for further handling them.
191 You can get \`$1' as part of \`Autoconf' from any GNU
192 archive site."
193
194 file=`echo "$*" | sed -n 's/.*--output[ =]*\([^ ]*\).*/\1/p'`
195 test -z "$file" && file=`echo "$*" | sed -n 's/.*-o[ ]*\([^ ]*\).*/\1/p'`
196 if test -f "$file"; then
197 touch $file
198 else
199 test -z "$file" || exec >$file
200 echo "#! /bin/sh"
201 echo "# Created by GNU Automake missing as a replacement of"
202 echo "# $ $@"
203 echo "exit 0"
204 chmod +x $file
205 exit 1
206 fi
207 ;;
208
209 bison|yacc)
210 echo 1>&2 "\
211 WARNING: \`$1' $msg. You should only need it if
212 you modified a \`.y' file. You may need the \`Bison' package
213 in order for those modifications to take effect. You can get
214 \`Bison' from any GNU archive site."
215 rm -f y.tab.c y.tab.h
216 if [ $# -ne 1 ]; then
217 eval LASTARG="\${$#}"
218 case "$LASTARG" in
219 *.y)
220 SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
221 if [ -f "$SRCFILE" ]; then
222 cp "$SRCFILE" y.tab.c
223 fi
224 SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
225 if [ -f "$SRCFILE" ]; then
226 cp "$SRCFILE" y.tab.h
227 fi
228 ;;
229 esac
230 fi
231 if [ ! -f y.tab.h ]; then
232 echo >y.tab.h
233 fi
234 if [ ! -f y.tab.c ]; then
235 echo 'main() { return 0; }' >y.tab.c
236 fi
237 ;;
238
239 lex|flex)
240 echo 1>&2 "\
241 WARNING: \`$1' is $msg. You should only need it if
242 you modified a \`.l' file. You may need the \`Flex' package
243 in order for those modifications to take effect. You can get
244 \`Flex' from any GNU archive site."
245 rm -f lex.yy.c
246 if [ $# -ne 1 ]; then
247 eval LASTARG="\${$#}"
248 case "$LASTARG" in
249 *.l)
250 SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
251 if [ -f "$SRCFILE" ]; then
252 cp "$SRCFILE" lex.yy.c
253 fi
254 ;;
255 esac
256 fi
257 if [ ! -f lex.yy.c ]; then
258 echo 'main() { return 0; }' >lex.yy.c
259 fi
260 ;;
261
262 help2man)
263 echo 1>&2 "\
264 WARNING: \`$1' is $msg. You should only need it if
265 you modified a dependency of a manual page. You may need the
266 \`Help2man' package in order for those modifications to take
267 effect. You can get \`Help2man' from any GNU archive site."
268
269 file=`echo "$*" | sed -n 's/.*-o \([^ ]*\).*/\1/p'`
270 if test -z "$file"; then
271 file=`echo "$*" | sed -n 's/.*--output=\([^ ]*\).*/\1/p'`
272 fi
273 if [ -f "$file" ]; then
274 touch $file
275 else
276 test -z "$file" || exec >$file
277 echo ".ab help2man is required to generate this page"
278 exit 1
279 fi
280 ;;
281
282 makeinfo)
283 echo 1>&2 "\
284 WARNING: \`$1' is $msg. You should only need it if
285 you modified a \`.texi' or \`.texinfo' file, or any other file
286 indirectly affecting the aspect of the manual. The spurious
287 call might also be the consequence of using a buggy \`make' (AIX,
288 DU, IRIX). You might want to install the \`Texinfo' package or
289 the \`GNU make' package. Grab either from any GNU archive site."
290 file=`echo "$*" | sed -n 's/.*-o \([^ ]*\).*/\1/p'`
291 if test -z "$file"; then
292 file=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
293 file=`sed -n '/^@setfilename/ { s/.* \([^ ]*\) *$/\1/; p; q; }' $file`
294 fi
295 touch $file
296 ;;
297
298 tar)
299 shift
300
301 # We have already tried tar in the generic part.
302 # Look for gnutar/gtar before invocation to avoid ugly error
303 # messages.
304 if (gnutar --version > /dev/null 2>&1); then
305 gnutar "$@" && exit 0
306 fi
307 if (gtar --version > /dev/null 2>&1); then
308 gtar "$@" && exit 0
309 fi
310 firstarg="$1"
311 if shift; then
312 case "$firstarg" in
313 *o*)
314 firstarg=`echo "$firstarg" | sed s/o//`
315 tar "$firstarg" "$@" && exit 0
316 ;;
317 esac
318 case "$firstarg" in
319 *h*)
320 firstarg=`echo "$firstarg" | sed s/h//`
321 tar "$firstarg" "$@" && exit 0
322 ;;
323 esac
324 fi
325
326 echo 1>&2 "\
327 WARNING: I can't seem to be able to run \`tar' with the given arguments.
328 You may want to install GNU tar or Free paxutils, or check the
329 command line arguments."
330 exit 1
331 ;;
332
333 *)
334 echo 1>&2 "\
335 WARNING: \`$1' is needed, and is $msg.
336 You might have modified some files without having the
337 proper tools for further handling them. Check the \`README' file,
338 it often tells you about the needed prerequisites for installing
339 this package. You may also peek at any GNU archive site, in case
340 some other package would contain this missing \`$1' program."
341 exit 1
342 ;;
343 esac
344
345 exit 0
79 # Run the given program, remember its exit status.
80 "$@"; st=$?
81
82 # If it succeeded, we are done.
83 test $st -eq 0 && exit 0
84
85 # Also exit now if we it failed (or wasn't found), and '--version' was
86 # passed; such an option is passed most likely to detect whether the
87 # program is present and works.
88 case $2 in --version|--help) exit $st;; esac
89
90 # Exit code 63 means version mismatch. This often happens when the user
91 # tries to use an ancient version of a tool on a file that requires a
92 # minimum version.
93 if test $st -eq 63; then
94 msg="probably too old"
95 elif test $st -eq 127; then
96 # Program was missing.
97 msg="missing on your system"
98 else
99 # Program was found and executed, but failed. Give up.
100 exit $st
101 fi
102
103 perl_URL=http://www.perl.org/
104 flex_URL=http://flex.sourceforge.net/
105 gnu_software_URL=http://www.gnu.org/software
106
107 program_details ()
108 {
109 case $1 in
110 aclocal|automake)
111 echo "The '$1' program is part of the GNU Automake package:"
112 echo "<$gnu_software_URL/automake>"
113 echo "It also requires GNU Autoconf, GNU m4 and Perl in order to run:"
114 echo "<$gnu_software_URL/autoconf>"
115 echo "<$gnu_software_URL/m4/>"
116 echo "<$perl_URL>"
117 ;;
118 autoconf|autom4te|autoheader)
119 echo "The '$1' program is part of the GNU Autoconf package:"
120 echo "<$gnu_software_URL/autoconf/>"
121 echo "It also requires GNU m4 and Perl in order to run:"
122 echo "<$gnu_software_URL/m4/>"
123 echo "<$perl_URL>"
124 ;;
125 esac
126 }
127
128 give_advice ()
129 {
130 # Normalize program name to check for.
131 normalized_program=`echo "$1" | sed '
132 s/^gnu-//; t
133 s/^gnu//; t
134 s/^g//; t'`
135
136 printf '%s\n' "'$1' is $msg."
137
138 configure_deps="'configure.ac' or m4 files included by 'configure.ac'"
139 case $normalized_program in
140 autoconf*)
141 echo "You should only need it if you modified 'configure.ac',"
142 echo "or m4 files included by it."
143 program_details 'autoconf'
144 ;;
145 autoheader*)
146 echo "You should only need it if you modified 'acconfig.h' or"
147 echo "$configure_deps."
148 program_details 'autoheader'
149 ;;
150 automake*)
151 echo "You should only need it if you modified 'Makefile.am' or"
152 echo "$configure_deps."
153 program_details 'automake'
154 ;;
155 aclocal*)
156 echo "You should only need it if you modified 'acinclude.m4' or"
157 echo "$configure_deps."
158 program_details 'aclocal'
159 ;;
160 autom4te*)
161 echo "You might have modified some maintainer files that require"
162 echo "the 'automa4te' program to be rebuilt."
163 program_details 'autom4te'
164 ;;
165 bison*|yacc*)
166 echo "You should only need it if you modified a '.y' file."
167 echo "You may want to install the GNU Bison package:"
168 echo "<$gnu_software_URL/bison/>"
169 ;;
170 lex*|flex*)
171 echo "You should only need it if you modified a '.l' file."
172 echo "You may want to install the Fast Lexical Analyzer package:"
173 echo "<$flex_URL>"
174 ;;
175 help2man*)
176 echo "You should only need it if you modified a dependency" \
177 "of a man page."
178 echo "You may want to install the GNU Help2man package:"
179 echo "<$gnu_software_URL/help2man/>"
180 ;;
181 makeinfo*)
182 echo "You should only need it if you modified a '.texi' file, or"
183 echo "any other file indirectly affecting the aspect of the manual."
184 echo "You might want to install the Texinfo package:"
185 echo "<$gnu_software_URL/texinfo/>"
186 echo "The spurious makeinfo call might also be the consequence of"
187 echo "using a buggy 'make' (AIX, DU, IRIX), in which case you might"
188 echo "want to install GNU make:"
189 echo "<$gnu_software_URL/make/>"
190 ;;
191 *)
192 echo "You might have modified some files without having the proper"
193 echo "tools for further handling them. Check the 'README' file, it"
194 echo "often tells you about the needed prerequisites for installing"
195 echo "this package. You may also peek at any GNU archive site, in"
196 echo "case some other package contains this missing '$1' program."
197 ;;
198 esac
199 }
200
201 give_advice "$1" | sed -e '1s/^/WARNING: /' \
202 -e '2,$s/^/ /' >&2
203
204 # Propagate the correct exit status (expected to be 127 for a program
205 # not found, 63 for a program that failed due to version mismatch).
206 exit $st
346207
347208 # Local variables:
348209 # eval: (add-hook 'write-file-hooks 'time-stamp)
349210 # time-stamp-start: "scriptversion="
350211 # time-stamp-format: "%:y-%02m-%02d.%02H"
351 # time-stamp-end: "$"
212 # time-stamp-time-zone: "UTC"
213 # time-stamp-end: "; # UTC"
352214 # End:
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = share
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = share/applications
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
74 am__can_run_installinfo = \
75 case $$AM_UPDATE_INFO_DIR in \
76 n|no|NO) false;; \
77 *) (install-info --version) >/dev/null 2>&1;; \
78 esac
4679 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4780 am__vpath_adj = case $$p in \
4881 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6497 am__base_list = \
6598 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
6699 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
100 am__uninstall_files_from_dir = { \
101 test -z "$$files" \
102 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
103 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
104 $(am__cd) "$$dir" && rm -f $$files; }; \
105 }
67106 am__installdirs = "$(DESTDIR)$(desktopdir)"
68107 DATA = $(desktop_DATA)
108 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
69109 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
70110 ACLOCAL = @ACLOCAL@
71111 AET2_CFLAGS = @AET2_CFLAGS@
72112 AET2_LDADD = @AET2_LDADD@
73113 ALLOCA = @ALLOCA@
74114 AMTAR = @AMTAR@
115 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
75116 AUTOCONF = @AUTOCONF@
76117 AUTOHEADER = @AUTOHEADER@
77118 AUTOMAKE = @AUTOMAKE@
140181 PACKAGE_NAME = @PACKAGE_NAME@
141182 PACKAGE_STRING = @PACKAGE_STRING@
142183 PACKAGE_TARNAME = @PACKAGE_TARNAME@
184 PACKAGE_URL = @PACKAGE_URL@
143185 PACKAGE_VERSION = @PACKAGE_VERSION@
144186 PATH_SEPARATOR = @PATH_SEPARATOR@
145187 PKG_CONFIG = @PKG_CONFIG@
243285 $(am__aclocal_m4_deps):
244286 install-desktopDATA: $(desktop_DATA)
245287 @$(NORMAL_INSTALL)
246 test -z "$(desktopdir)" || $(MKDIR_P) "$(DESTDIR)$(desktopdir)"
247288 @list='$(desktop_DATA)'; test -n "$(desktopdir)" || list=; \
289 if test -n "$$list"; then \
290 echo " $(MKDIR_P) '$(DESTDIR)$(desktopdir)'"; \
291 $(MKDIR_P) "$(DESTDIR)$(desktopdir)" || exit 1; \
292 fi; \
248293 for p in $$list; do \
249294 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
250295 echo "$$d$$p"; \
258303 @$(NORMAL_UNINSTALL)
259304 @list='$(desktop_DATA)'; test -n "$(desktopdir)" || list=; \
260305 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
261 test -n "$$files" || exit 0; \
262 echo " ( cd '$(DESTDIR)$(desktopdir)' && rm -f" $$files ")"; \
263 cd "$(DESTDIR)$(desktopdir)" && rm -f $$files
264 tags: TAGS
265 TAGS:
266
267 ctags: CTAGS
268 CTAGS:
306 dir='$(DESTDIR)$(desktopdir)'; $(am__uninstall_files_from_dir)
307 tags TAGS:
308
309 ctags CTAGS:
310
311 cscope cscopelist:
269312
270313
271314 distdir: $(DISTFILES)
315358
316359 installcheck: installcheck-am
317360 install-strip:
318 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
319 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
320 `test -z '$(STRIP)' || \
321 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
361 if test -z '$(STRIP)'; then \
362 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
363 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
364 install; \
365 else \
366 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
367 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
368 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
369 fi
322370 mostlyclean-generic:
323371
324372 clean-generic:
402450 $(MAKE) $(AM_MAKEFLAGS) uninstall-hook
403451 .MAKE: install-am install-data-am install-strip uninstall-am
404452
405 .PHONY: all all-am check check-am clean clean-generic distclean \
406 distclean-generic distdir dvi dvi-am html html-am info info-am \
407 install install-am install-data install-data-am \
408 install-data-hook install-desktopDATA install-dvi \
409 install-dvi-am install-exec install-exec-am install-html \
410 install-html-am install-info install-info-am install-man \
411 install-pdf install-pdf-am install-ps install-ps-am \
412 install-strip installcheck installcheck-am installdirs \
413 maintainer-clean maintainer-clean-generic mostlyclean \
414 mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am \
415 uninstall-desktopDATA uninstall-hook
453 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
454 ctags-am distclean distclean-generic distdir dvi dvi-am html \
455 html-am info info-am install install-am install-data \
456 install-data-am install-data-hook install-desktopDATA \
457 install-dvi install-dvi-am install-exec install-exec-am \
458 install-html install-html-am install-info install-info-am \
459 install-man install-pdf install-pdf-am install-ps \
460 install-ps-am install-strip installcheck installcheck-am \
461 installdirs maintainer-clean maintainer-clean-generic \
462 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags-am \
463 uninstall uninstall-am uninstall-desktopDATA uninstall-hook
416464
417465
418466 @FDO_MIME_TRUE@install-data-hook:
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = share/icons
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
46 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
47 html-recursive info-recursive install-data-recursive \
48 install-dvi-recursive install-exec-recursive \
49 install-html-recursive install-info-recursive \
50 install-pdf-recursive install-ps-recursive install-recursive \
51 installcheck-recursive installdirs-recursive pdf-recursive \
52 ps-recursive uninstall-recursive
74 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
75 ctags-recursive dvi-recursive html-recursive info-recursive \
76 install-data-recursive install-dvi-recursive \
77 install-exec-recursive install-html-recursive \
78 install-info-recursive install-pdf-recursive \
79 install-ps-recursive install-recursive installcheck-recursive \
80 installdirs-recursive pdf-recursive ps-recursive \
81 tags-recursive uninstall-recursive
82 am__can_run_installinfo = \
83 case $$AM_UPDATE_INFO_DIR in \
84 n|no|NO) false;; \
85 *) (install-info --version) >/dev/null 2>&1;; \
86 esac
5387 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
5488 am__vpath_adj = case $$p in \
5589 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
71105 am__base_list = \
72106 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
73107 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
108 am__uninstall_files_from_dir = { \
109 test -z "$$files" \
110 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
111 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
112 $(am__cd) "$$dir" && rm -f $$files; }; \
113 }
74114 am__installdirs = "$(DESTDIR)$(icondir)"
75115 DATA = $(icon_DATA)
76116 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
77117 distclean-recursive maintainer-clean-recursive
78 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
79 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
118 am__recursive_targets = \
119 $(RECURSIVE_TARGETS) \
120 $(RECURSIVE_CLEAN_TARGETS) \
121 $(am__extra_recursive_targets)
122 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
80123 distdir
124 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
125 # Read a list of newline-separated strings from the standard input,
126 # and print each of them once, without duplicates. Input order is
127 # *not* preserved.
128 am__uniquify_input = $(AWK) '\
129 BEGIN { nonempty = 0; } \
130 { items[$$0] = 1; nonempty = 1; } \
131 END { if (nonempty) { for (i in items) print i; }; } \
132 '
133 # Make sure the list of sources is unique. This is necessary because,
134 # e.g., the same source file might be shared among _SOURCES variables
135 # for different programs/libraries.
136 am__define_uniq_tagged_files = \
137 list='$(am__tagged_files)'; \
138 unique=`for i in $$list; do \
139 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
140 done | $(am__uniquify_input)`
81141 ETAGS = etags
82142 CTAGS = ctags
83143 DIST_SUBDIRS = $(SUBDIRS)
112172 AET2_LDADD = @AET2_LDADD@
113173 ALLOCA = @ALLOCA@
114174 AMTAR = @AMTAR@
175 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
115176 AUTOCONF = @AUTOCONF@
116177 AUTOHEADER = @AUTOHEADER@
117178 AUTOMAKE = @AUTOMAKE@
180241 PACKAGE_NAME = @PACKAGE_NAME@
181242 PACKAGE_STRING = @PACKAGE_STRING@
182243 PACKAGE_TARNAME = @PACKAGE_TARNAME@
244 PACKAGE_URL = @PACKAGE_URL@
183245 PACKAGE_VERSION = @PACKAGE_VERSION@
184246 PATH_SEPARATOR = @PATH_SEPARATOR@
185247 PKG_CONFIG = @PKG_CONFIG@
284346 $(am__aclocal_m4_deps):
285347 install-iconDATA: $(icon_DATA)
286348 @$(NORMAL_INSTALL)
287 test -z "$(icondir)" || $(MKDIR_P) "$(DESTDIR)$(icondir)"
288349 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
350 if test -n "$$list"; then \
351 echo " $(MKDIR_P) '$(DESTDIR)$(icondir)'"; \
352 $(MKDIR_P) "$(DESTDIR)$(icondir)" || exit 1; \
353 fi; \
289354 for p in $$list; do \
290355 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
291356 echo "$$d$$p"; \
299364 @$(NORMAL_UNINSTALL)
300365 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
301366 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
302 test -n "$$files" || exit 0; \
303 echo " ( cd '$(DESTDIR)$(icondir)' && rm -f" $$files ")"; \
304 cd "$(DESTDIR)$(icondir)" && rm -f $$files
367 dir='$(DESTDIR)$(icondir)'; $(am__uninstall_files_from_dir)
305368
306369 # This directory's subdirectories are mostly independent; you can cd
307 # into them and run `make' without going through this Makefile.
308 # To change the values of `make' variables: instead of editing Makefiles,
309 # (1) if the variable is set in `config.status', edit `config.status'
310 # (which will cause the Makefiles to be regenerated when you run `make');
311 # (2) otherwise, pass the desired values on the `make' command line.
312 $(RECURSIVE_TARGETS):
370 # into them and run 'make' without going through this Makefile.
371 # To change the values of 'make' variables: instead of editing Makefiles,
372 # (1) if the variable is set in 'config.status', edit 'config.status'
373 # (which will cause the Makefiles to be regenerated when you run 'make');
374 # (2) otherwise, pass the desired values on the 'make' command line.
375 $(am__recursive_targets):
313376 @fail= failcom='exit 1'; \
314377 for f in x $$MAKEFLAGS; do \
315378 case $$f in \
319382 done; \
320383 dot_seen=no; \
321384 target=`echo $@ | sed s/-recursive//`; \
322 list='$(SUBDIRS)'; for subdir in $$list; do \
385 case "$@" in \
386 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
387 *) list='$(SUBDIRS)' ;; \
388 esac; \
389 for subdir in $$list; do \
323390 echo "Making $$target in $$subdir"; \
324391 if test "$$subdir" = "."; then \
325392 dot_seen=yes; \
334401 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
335402 fi; test -z "$$fail"
336403
337 $(RECURSIVE_CLEAN_TARGETS):
338 @fail= failcom='exit 1'; \
339 for f in x $$MAKEFLAGS; do \
340 case $$f in \
341 *=* | --[!k]*);; \
342 *k*) failcom='fail=yes';; \
343 esac; \
344 done; \
345 dot_seen=no; \
346 case "$@" in \
347 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
348 *) list='$(SUBDIRS)' ;; \
349 esac; \
350 rev=''; for subdir in $$list; do \
351 if test "$$subdir" = "."; then :; else \
352 rev="$$subdir $$rev"; \
353 fi; \
354 done; \
355 rev="$$rev ."; \
356 target=`echo $@ | sed s/-recursive//`; \
357 for subdir in $$rev; do \
358 echo "Making $$target in $$subdir"; \
359 if test "$$subdir" = "."; then \
360 local_target="$$target-am"; \
361 else \
362 local_target="$$target"; \
363 fi; \
364 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
365 || eval $$failcom; \
366 done && test -z "$$fail"
367 tags-recursive:
368 list='$(SUBDIRS)'; for subdir in $$list; do \
369 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
370 done
371 ctags-recursive:
372 list='$(SUBDIRS)'; for subdir in $$list; do \
373 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
374 done
375
376 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
377 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
378 unique=`for i in $$list; do \
379 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
380 done | \
381 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
382 END { if (nonempty) { for (i in files) print i; }; }'`; \
383 mkid -fID $$unique
384 tags: TAGS
385
386 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
387 $(TAGS_FILES) $(LISP)
404 ID: $(am__tagged_files)
405 $(am__define_uniq_tagged_files); mkid -fID $$unique
406 tags: tags-recursive
407 TAGS: tags
408
409 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
388410 set x; \
389411 here=`pwd`; \
390412 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
400422 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
401423 fi; \
402424 done; \
403 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
404 unique=`for i in $$list; do \
405 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
406 done | \
407 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
408 END { if (nonempty) { for (i in files) print i; }; }'`; \
425 $(am__define_uniq_tagged_files); \
409426 shift; \
410427 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
411428 test -n "$$unique" || unique=$$empty_fix; \
417434 $$unique; \
418435 fi; \
419436 fi
420 ctags: CTAGS
421 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
422 $(TAGS_FILES) $(LISP)
423 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
424 unique=`for i in $$list; do \
425 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
426 done | \
427 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
428 END { if (nonempty) { for (i in files) print i; }; }'`; \
437 ctags: ctags-recursive
438
439 CTAGS: ctags
440 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
441 $(am__define_uniq_tagged_files); \
429442 test -z "$(CTAGS_ARGS)$$unique" \
430443 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
431444 $$unique
434447 here=`$(am__cd) $(top_builddir) && pwd` \
435448 && $(am__cd) $(top_srcdir) \
436449 && gtags -i $(GTAGS_ARGS) "$$here"
450 cscopelist: cscopelist-recursive
451
452 cscopelist-am: $(am__tagged_files)
453 list='$(am__tagged_files)'; \
454 case "$(srcdir)" in \
455 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
456 *) sdir=$(subdir)/$(srcdir) ;; \
457 esac; \
458 for i in $$list; do \
459 if test -f "$$i"; then \
460 echo "$(subdir)/$$i"; \
461 else \
462 echo "$$sdir/$$i"; \
463 fi; \
464 done >> $(top_builddir)/cscope.files
437465
438466 distclean-tags:
439467 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
470498 done
471499 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
472500 if test "$$subdir" = .; then :; else \
473 test -d "$(distdir)/$$subdir" \
474 || $(MKDIR_P) "$(distdir)/$$subdir" \
475 || exit 1; \
476 fi; \
477 done
478 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
479 if test "$$subdir" = .; then :; else \
501 $(am__make_dryrun) \
502 || test -d "$(distdir)/$$subdir" \
503 || $(MKDIR_P) "$(distdir)/$$subdir" \
504 || exit 1; \
480505 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
481506 $(am__relativize); \
482507 new_distdir=$$reldir; \
514539
515540 installcheck: installcheck-recursive
516541 install-strip:
517 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
518 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
519 `test -z '$(STRIP)' || \
520 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
542 if test -z '$(STRIP)'; then \
543 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
544 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
545 install; \
546 else \
547 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
548 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
549 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
550 fi
521551 mostlyclean-generic:
522552
523553 clean-generic:
595625
596626 uninstall-am: uninstall-iconDATA
597627
598 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
599 install-am install-strip tags-recursive
600
601 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
602 all all-am check check-am clean clean-generic ctags \
603 ctags-recursive distclean distclean-generic distclean-tags \
604 distdir dvi dvi-am html html-am info info-am install \
605 install-am install-data install-data-am install-dvi \
606 install-dvi-am install-exec install-exec-am install-html \
607 install-html-am install-iconDATA install-info install-info-am \
608 install-man install-pdf install-pdf-am install-ps \
609 install-ps-am install-strip installcheck installcheck-am \
610 installdirs installdirs-am maintainer-clean \
611 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
612 pdf-am ps ps-am tags tags-recursive uninstall uninstall-am \
613 uninstall-iconDATA
628 .MAKE: $(am__recursive_targets) install-am install-strip
629
630 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
631 check-am clean clean-generic cscopelist-am ctags ctags-am \
632 distclean distclean-generic distclean-tags distdir dvi dvi-am \
633 html html-am info info-am install install-am install-data \
634 install-data-am install-dvi install-dvi-am install-exec \
635 install-exec-am install-html install-html-am install-iconDATA \
636 install-info install-info-am install-man install-pdf \
637 install-pdf-am install-ps install-ps-am install-strip \
638 installcheck installcheck-am installdirs installdirs-am \
639 maintainer-clean maintainer-clean-generic mostlyclean \
640 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
641 uninstall-am uninstall-iconDATA
614642
615643
616644 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = share/icons/gnome/16x16
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = share/icons/gnome/16x16/mimetypes
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
74 am__can_run_installinfo = \
75 case $$AM_UPDATE_INFO_DIR in \
76 n|no|NO) false;; \
77 *) (install-info --version) >/dev/null 2>&1;; \
78 esac
4679 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4780 am__vpath_adj = case $$p in \
4881 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6497 am__base_list = \
6598 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
6699 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
100 am__uninstall_files_from_dir = { \
101 test -z "$$files" \
102 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
103 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
104 $(am__cd) "$$dir" && rm -f $$files; }; \
105 }
67106 am__installdirs = "$(DESTDIR)$(icondir)"
68107 DATA = $(icon_DATA)
108 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
69109 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
70110 ACLOCAL = @ACLOCAL@
71111 AET2_CFLAGS = @AET2_CFLAGS@
72112 AET2_LDADD = @AET2_LDADD@
73113 ALLOCA = @ALLOCA@
74114 AMTAR = @AMTAR@
115 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
75116 AUTOCONF = @AUTOCONF@
76117 AUTOHEADER = @AUTOHEADER@
77118 AUTOMAKE = @AUTOMAKE@
140181 PACKAGE_NAME = @PACKAGE_NAME@
141182 PACKAGE_STRING = @PACKAGE_STRING@
142183 PACKAGE_TARNAME = @PACKAGE_TARNAME@
184 PACKAGE_URL = @PACKAGE_URL@
143185 PACKAGE_VERSION = @PACKAGE_VERSION@
144186 PATH_SEPARATOR = @PATH_SEPARATOR@
145187 PKG_CONFIG = @PKG_CONFIG@
257299 $(am__aclocal_m4_deps):
258300 install-iconDATA: $(icon_DATA)
259301 @$(NORMAL_INSTALL)
260 test -z "$(icondir)" || $(MKDIR_P) "$(DESTDIR)$(icondir)"
261302 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
303 if test -n "$$list"; then \
304 echo " $(MKDIR_P) '$(DESTDIR)$(icondir)'"; \
305 $(MKDIR_P) "$(DESTDIR)$(icondir)" || exit 1; \
306 fi; \
262307 for p in $$list; do \
263308 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
264309 echo "$$d$$p"; \
272317 @$(NORMAL_UNINSTALL)
273318 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
274319 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
275 test -n "$$files" || exit 0; \
276 echo " ( cd '$(DESTDIR)$(icondir)' && rm -f" $$files ")"; \
277 cd "$(DESTDIR)$(icondir)" && rm -f $$files
278 tags: TAGS
279 TAGS:
280
281 ctags: CTAGS
282 CTAGS:
320 dir='$(DESTDIR)$(icondir)'; $(am__uninstall_files_from_dir)
321 tags TAGS:
322
323 ctags CTAGS:
324
325 cscope cscopelist:
283326
284327
285328 distdir: $(DISTFILES)
329372
330373 installcheck: installcheck-am
331374 install-strip:
332 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
333 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
334 `test -z '$(STRIP)' || \
335 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
375 if test -z '$(STRIP)'; then \
376 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
377 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
378 install; \
379 else \
380 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
381 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
382 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
383 fi
336384 mostlyclean-generic:
337385
338386 clean-generic:
412460
413461 .MAKE: install-am install-strip
414462
415 .PHONY: all all-am check check-am clean clean-generic distclean \
416 distclean-generic distdir dvi dvi-am html html-am info info-am \
417 install install-am install-data install-data-am install-dvi \
418 install-dvi-am install-exec install-exec-am install-html \
419 install-html-am install-iconDATA install-info install-info-am \
420 install-man install-pdf install-pdf-am install-ps \
421 install-ps-am install-strip installcheck installcheck-am \
422 installdirs maintainer-clean maintainer-clean-generic \
423 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am uninstall \
424 uninstall-am uninstall-iconDATA
463 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
464 ctags-am distclean distclean-generic distdir dvi dvi-am html \
465 html-am info info-am install install-am install-data \
466 install-data-am install-dvi install-dvi-am install-exec \
467 install-exec-am install-html install-html-am install-iconDATA \
468 install-info install-info-am install-man install-pdf \
469 install-pdf-am install-ps install-ps-am install-strip \
470 installcheck installcheck-am installdirs maintainer-clean \
471 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
472 pdf-am ps ps-am tags-am uninstall uninstall-am \
473 uninstall-iconDATA
425474
426475
427476 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = share/icons/gnome/32x32
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = share/icons/gnome/32x32/mimetypes
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
74 am__can_run_installinfo = \
75 case $$AM_UPDATE_INFO_DIR in \
76 n|no|NO) false;; \
77 *) (install-info --version) >/dev/null 2>&1;; \
78 esac
4679 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4780 am__vpath_adj = case $$p in \
4881 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6497 am__base_list = \
6598 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
6699 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
100 am__uninstall_files_from_dir = { \
101 test -z "$$files" \
102 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
103 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
104 $(am__cd) "$$dir" && rm -f $$files; }; \
105 }
67106 am__installdirs = "$(DESTDIR)$(icondir)"
68107 DATA = $(icon_DATA)
108 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
69109 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
70110 ACLOCAL = @ACLOCAL@
71111 AET2_CFLAGS = @AET2_CFLAGS@
72112 AET2_LDADD = @AET2_LDADD@
73113 ALLOCA = @ALLOCA@
74114 AMTAR = @AMTAR@
115 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
75116 AUTOCONF = @AUTOCONF@
76117 AUTOHEADER = @AUTOHEADER@
77118 AUTOMAKE = @AUTOMAKE@
140181 PACKAGE_NAME = @PACKAGE_NAME@
141182 PACKAGE_STRING = @PACKAGE_STRING@
142183 PACKAGE_TARNAME = @PACKAGE_TARNAME@
184 PACKAGE_URL = @PACKAGE_URL@
143185 PACKAGE_VERSION = @PACKAGE_VERSION@
144186 PATH_SEPARATOR = @PATH_SEPARATOR@
145187 PKG_CONFIG = @PKG_CONFIG@
257299 $(am__aclocal_m4_deps):
258300 install-iconDATA: $(icon_DATA)
259301 @$(NORMAL_INSTALL)
260 test -z "$(icondir)" || $(MKDIR_P) "$(DESTDIR)$(icondir)"
261302 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
303 if test -n "$$list"; then \
304 echo " $(MKDIR_P) '$(DESTDIR)$(icondir)'"; \
305 $(MKDIR_P) "$(DESTDIR)$(icondir)" || exit 1; \
306 fi; \
262307 for p in $$list; do \
263308 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
264309 echo "$$d$$p"; \
272317 @$(NORMAL_UNINSTALL)
273318 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
274319 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
275 test -n "$$files" || exit 0; \
276 echo " ( cd '$(DESTDIR)$(icondir)' && rm -f" $$files ")"; \
277 cd "$(DESTDIR)$(icondir)" && rm -f $$files
278 tags: TAGS
279 TAGS:
280
281 ctags: CTAGS
282 CTAGS:
320 dir='$(DESTDIR)$(icondir)'; $(am__uninstall_files_from_dir)
321 tags TAGS:
322
323 ctags CTAGS:
324
325 cscope cscopelist:
283326
284327
285328 distdir: $(DISTFILES)
329372
330373 installcheck: installcheck-am
331374 install-strip:
332 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
333 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
334 `test -z '$(STRIP)' || \
335 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
375 if test -z '$(STRIP)'; then \
376 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
377 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
378 install; \
379 else \
380 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
381 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
382 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
383 fi
336384 mostlyclean-generic:
337385
338386 clean-generic:
412460
413461 .MAKE: install-am install-strip
414462
415 .PHONY: all all-am check check-am clean clean-generic distclean \
416 distclean-generic distdir dvi dvi-am html html-am info info-am \
417 install install-am install-data install-data-am install-dvi \
418 install-dvi-am install-exec install-exec-am install-html \
419 install-html-am install-iconDATA install-info install-info-am \
420 install-man install-pdf install-pdf-am install-ps \
421 install-ps-am install-strip installcheck installcheck-am \
422 installdirs maintainer-clean maintainer-clean-generic \
423 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am uninstall \
424 uninstall-am uninstall-iconDATA
463 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
464 ctags-am distclean distclean-generic distdir dvi dvi-am html \
465 html-am info info-am install install-am install-data \
466 install-data-am install-dvi install-dvi-am install-exec \
467 install-exec-am install-html install-html-am install-iconDATA \
468 install-info install-info-am install-man install-pdf \
469 install-pdf-am install-ps install-ps-am install-strip \
470 installcheck installcheck-am installdirs maintainer-clean \
471 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
472 pdf-am ps ps-am tags-am uninstall uninstall-am \
473 uninstall-iconDATA
425474
426475
427476 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = share/icons/gnome/48x48
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = share/icons/gnome/48x48/mimetypes
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
74 am__can_run_installinfo = \
75 case $$AM_UPDATE_INFO_DIR in \
76 n|no|NO) false;; \
77 *) (install-info --version) >/dev/null 2>&1;; \
78 esac
4679 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4780 am__vpath_adj = case $$p in \
4881 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6497 am__base_list = \
6598 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
6699 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
100 am__uninstall_files_from_dir = { \
101 test -z "$$files" \
102 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
103 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
104 $(am__cd) "$$dir" && rm -f $$files; }; \
105 }
67106 am__installdirs = "$(DESTDIR)$(icondir)"
68107 DATA = $(icon_DATA)
108 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
69109 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
70110 ACLOCAL = @ACLOCAL@
71111 AET2_CFLAGS = @AET2_CFLAGS@
72112 AET2_LDADD = @AET2_LDADD@
73113 ALLOCA = @ALLOCA@
74114 AMTAR = @AMTAR@
115 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
75116 AUTOCONF = @AUTOCONF@
76117 AUTOHEADER = @AUTOHEADER@
77118 AUTOMAKE = @AUTOMAKE@
140181 PACKAGE_NAME = @PACKAGE_NAME@
141182 PACKAGE_STRING = @PACKAGE_STRING@
142183 PACKAGE_TARNAME = @PACKAGE_TARNAME@
184 PACKAGE_URL = @PACKAGE_URL@
143185 PACKAGE_VERSION = @PACKAGE_VERSION@
144186 PATH_SEPARATOR = @PATH_SEPARATOR@
145187 PKG_CONFIG = @PKG_CONFIG@
257299 $(am__aclocal_m4_deps):
258300 install-iconDATA: $(icon_DATA)
259301 @$(NORMAL_INSTALL)
260 test -z "$(icondir)" || $(MKDIR_P) "$(DESTDIR)$(icondir)"
261302 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
303 if test -n "$$list"; then \
304 echo " $(MKDIR_P) '$(DESTDIR)$(icondir)'"; \
305 $(MKDIR_P) "$(DESTDIR)$(icondir)" || exit 1; \
306 fi; \
262307 for p in $$list; do \
263308 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
264309 echo "$$d$$p"; \
272317 @$(NORMAL_UNINSTALL)
273318 @list='$(icon_DATA)'; test -n "$(icondir)" || list=; \
274319 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
275 test -n "$$files" || exit 0; \
276 echo " ( cd '$(DESTDIR)$(icondir)' && rm -f" $$files ")"; \
277 cd "$(DESTDIR)$(icondir)" && rm -f $$files
278 tags: TAGS
279 TAGS:
280
281 ctags: CTAGS
282 CTAGS:
320 dir='$(DESTDIR)$(icondir)'; $(am__uninstall_files_from_dir)
321 tags TAGS:
322
323 ctags CTAGS:
324
325 cscope cscopelist:
283326
284327
285328 distdir: $(DISTFILES)
329372
330373 installcheck: installcheck-am
331374 install-strip:
332 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
333 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
334 `test -z '$(STRIP)' || \
335 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
375 if test -z '$(STRIP)'; then \
376 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
377 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
378 install; \
379 else \
380 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
381 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
382 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
383 fi
336384 mostlyclean-generic:
337385
338386 clean-generic:
412460
413461 .MAKE: install-am install-strip
414462
415 .PHONY: all all-am check check-am clean clean-generic distclean \
416 distclean-generic distdir dvi dvi-am html html-am info info-am \
417 install install-am install-data install-data-am install-dvi \
418 install-dvi-am install-exec install-exec-am install-html \
419 install-html-am install-iconDATA install-info install-info-am \
420 install-man install-pdf install-pdf-am install-ps \
421 install-ps-am install-strip installcheck installcheck-am \
422 installdirs maintainer-clean maintainer-clean-generic \
423 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am uninstall \
424 uninstall-am uninstall-iconDATA
463 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
464 ctags-am distclean distclean-generic distdir dvi dvi-am html \
465 html-am info info-am install install-am install-data \
466 install-data-am install-dvi install-dvi-am install-exec \
467 install-exec-am install-html install-html-am install-iconDATA \
468 install-info install-info-am install-man install-pdf \
469 install-pdf-am install-ps install-ps-am install-strip \
470 installcheck installcheck-am installdirs maintainer-clean \
471 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
472 pdf-am ps ps-am tags-am uninstall uninstall-am \
473 uninstall-iconDATA
425474
426475
427476 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = share/icons/gnome
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1413
1514 @SET_MAKE@
1615 VPATH = @srcdir@
16 am__make_dryrun = \
17 { \
18 am__dry=no; \
19 case $$MAKEFLAGS in \
20 *\\[\ \ ]*) \
21 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
22 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
23 *) \
24 for am__flg in $$MAKEFLAGS; do \
25 case $$am__flg in \
26 *=*|--*) ;; \
27 *n*) am__dry=yes; break;; \
28 esac; \
29 done;; \
30 esac; \
31 test $$am__dry = yes; \
32 }
1733 pkgdatadir = $(datadir)/@PACKAGE@
1834 pkgincludedir = $(includedir)/@PACKAGE@
1935 pkglibdir = $(libdir)/@PACKAGE@
3147 PRE_UNINSTALL = :
3248 POST_UNINSTALL = :
3349 subdir = share/mime
34 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
50 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3551 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3652 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3753 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4056 CONFIG_HEADER = $(top_builddir)/config.h
4157 CONFIG_CLEAN_FILES =
4258 CONFIG_CLEAN_VPATH_FILES =
59 AM_V_P = $(am__v_P_@AM_V@)
60 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
61 am__v_P_0 = false
62 am__v_P_1 = :
63 AM_V_GEN = $(am__v_GEN_@AM_V@)
64 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
65 am__v_GEN_0 = @echo " GEN " $@;
66 am__v_GEN_1 =
67 AM_V_at = $(am__v_at_@AM_V@)
68 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
69 am__v_at_0 = @
70 am__v_at_1 =
4371 SOURCES =
4472 DIST_SOURCES =
45 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
46 html-recursive info-recursive install-data-recursive \
47 install-dvi-recursive install-exec-recursive \
48 install-html-recursive install-info-recursive \
49 install-pdf-recursive install-ps-recursive install-recursive \
50 installcheck-recursive installdirs-recursive pdf-recursive \
51 ps-recursive uninstall-recursive
73 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
74 ctags-recursive dvi-recursive html-recursive info-recursive \
75 install-data-recursive install-dvi-recursive \
76 install-exec-recursive install-html-recursive \
77 install-info-recursive install-pdf-recursive \
78 install-ps-recursive install-recursive installcheck-recursive \
79 installdirs-recursive pdf-recursive ps-recursive \
80 tags-recursive uninstall-recursive
81 am__can_run_installinfo = \
82 case $$AM_UPDATE_INFO_DIR in \
83 n|no|NO) false;; \
84 *) (install-info --version) >/dev/null 2>&1;; \
85 esac
5286 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
5387 distclean-recursive maintainer-clean-recursive
54 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
55 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
88 am__recursive_targets = \
89 $(RECURSIVE_TARGETS) \
90 $(RECURSIVE_CLEAN_TARGETS) \
91 $(am__extra_recursive_targets)
92 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
5693 distdir
94 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
95 # Read a list of newline-separated strings from the standard input,
96 # and print each of them once, without duplicates. Input order is
97 # *not* preserved.
98 am__uniquify_input = $(AWK) '\
99 BEGIN { nonempty = 0; } \
100 { items[$$0] = 1; nonempty = 1; } \
101 END { if (nonempty) { for (i in items) print i; }; } \
102 '
103 # Make sure the list of sources is unique. This is necessary because,
104 # e.g., the same source file might be shared among _SOURCES variables
105 # for different programs/libraries.
106 am__define_uniq_tagged_files = \
107 list='$(am__tagged_files)'; \
108 unique=`for i in $$list; do \
109 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
110 done | $(am__uniquify_input)`
57111 ETAGS = etags
58112 CTAGS = ctags
59113 DIST_SUBDIRS = $(SUBDIRS)
88142 AET2_LDADD = @AET2_LDADD@
89143 ALLOCA = @ALLOCA@
90144 AMTAR = @AMTAR@
145 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
91146 AUTOCONF = @AUTOCONF@
92147 AUTOHEADER = @AUTOHEADER@
93148 AUTOMAKE = @AUTOMAKE@
156211 PACKAGE_NAME = @PACKAGE_NAME@
157212 PACKAGE_STRING = @PACKAGE_STRING@
158213 PACKAGE_TARNAME = @PACKAGE_TARNAME@
214 PACKAGE_URL = @PACKAGE_URL@
159215 PACKAGE_VERSION = @PACKAGE_VERSION@
160216 PATH_SEPARATOR = @PATH_SEPARATOR@
161217 PKG_CONFIG = @PKG_CONFIG@
256312 $(am__aclocal_m4_deps):
257313
258314 # This directory's subdirectories are mostly independent; you can cd
259 # into them and run `make' without going through this Makefile.
260 # To change the values of `make' variables: instead of editing Makefiles,
261 # (1) if the variable is set in `config.status', edit `config.status'
262 # (which will cause the Makefiles to be regenerated when you run `make');
263 # (2) otherwise, pass the desired values on the `make' command line.
264 $(RECURSIVE_TARGETS):
315 # into them and run 'make' without going through this Makefile.
316 # To change the values of 'make' variables: instead of editing Makefiles,
317 # (1) if the variable is set in 'config.status', edit 'config.status'
318 # (which will cause the Makefiles to be regenerated when you run 'make');
319 # (2) otherwise, pass the desired values on the 'make' command line.
320 $(am__recursive_targets):
265321 @fail= failcom='exit 1'; \
266322 for f in x $$MAKEFLAGS; do \
267323 case $$f in \
271327 done; \
272328 dot_seen=no; \
273329 target=`echo $@ | sed s/-recursive//`; \
274 list='$(SUBDIRS)'; for subdir in $$list; do \
330 case "$@" in \
331 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
332 *) list='$(SUBDIRS)' ;; \
333 esac; \
334 for subdir in $$list; do \
275335 echo "Making $$target in $$subdir"; \
276336 if test "$$subdir" = "."; then \
277337 dot_seen=yes; \
286346 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
287347 fi; test -z "$$fail"
288348
289 $(RECURSIVE_CLEAN_TARGETS):
290 @fail= failcom='exit 1'; \
291 for f in x $$MAKEFLAGS; do \
292 case $$f in \
293 *=* | --[!k]*);; \
294 *k*) failcom='fail=yes';; \
295 esac; \
296 done; \
297 dot_seen=no; \
298 case "$@" in \
299 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
300 *) list='$(SUBDIRS)' ;; \
301 esac; \
302 rev=''; for subdir in $$list; do \
303 if test "$$subdir" = "."; then :; else \
304 rev="$$subdir $$rev"; \
305 fi; \
306 done; \
307 rev="$$rev ."; \
308 target=`echo $@ | sed s/-recursive//`; \
309 for subdir in $$rev; do \
310 echo "Making $$target in $$subdir"; \
311 if test "$$subdir" = "."; then \
312 local_target="$$target-am"; \
313 else \
314 local_target="$$target"; \
315 fi; \
316 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
317 || eval $$failcom; \
318 done && test -z "$$fail"
319 tags-recursive:
320 list='$(SUBDIRS)'; for subdir in $$list; do \
321 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
322 done
323 ctags-recursive:
324 list='$(SUBDIRS)'; for subdir in $$list; do \
325 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
326 done
327
328 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
329 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
330 unique=`for i in $$list; do \
331 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
332 done | \
333 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
334 END { if (nonempty) { for (i in files) print i; }; }'`; \
335 mkid -fID $$unique
336 tags: TAGS
337
338 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
339 $(TAGS_FILES) $(LISP)
349 ID: $(am__tagged_files)
350 $(am__define_uniq_tagged_files); mkid -fID $$unique
351 tags: tags-recursive
352 TAGS: tags
353
354 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
340355 set x; \
341356 here=`pwd`; \
342357 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
352367 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
353368 fi; \
354369 done; \
355 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
356 unique=`for i in $$list; do \
357 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
358 done | \
359 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
360 END { if (nonempty) { for (i in files) print i; }; }'`; \
370 $(am__define_uniq_tagged_files); \
361371 shift; \
362372 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
363373 test -n "$$unique" || unique=$$empty_fix; \
369379 $$unique; \
370380 fi; \
371381 fi
372 ctags: CTAGS
373 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
374 $(TAGS_FILES) $(LISP)
375 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
376 unique=`for i in $$list; do \
377 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
378 done | \
379 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
380 END { if (nonempty) { for (i in files) print i; }; }'`; \
382 ctags: ctags-recursive
383
384 CTAGS: ctags
385 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
386 $(am__define_uniq_tagged_files); \
381387 test -z "$(CTAGS_ARGS)$$unique" \
382388 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
383389 $$unique
386392 here=`$(am__cd) $(top_builddir) && pwd` \
387393 && $(am__cd) $(top_srcdir) \
388394 && gtags -i $(GTAGS_ARGS) "$$here"
395 cscopelist: cscopelist-recursive
396
397 cscopelist-am: $(am__tagged_files)
398 list='$(am__tagged_files)'; \
399 case "$(srcdir)" in \
400 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
401 *) sdir=$(subdir)/$(srcdir) ;; \
402 esac; \
403 for i in $$list; do \
404 if test -f "$$i"; then \
405 echo "$(subdir)/$$i"; \
406 else \
407 echo "$$sdir/$$i"; \
408 fi; \
409 done >> $(top_builddir)/cscope.files
389410
390411 distclean-tags:
391412 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
422443 done
423444 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
424445 if test "$$subdir" = .; then :; else \
425 test -d "$(distdir)/$$subdir" \
426 || $(MKDIR_P) "$(distdir)/$$subdir" \
427 || exit 1; \
428 fi; \
429 done
430 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
431 if test "$$subdir" = .; then :; else \
446 $(am__make_dryrun) \
447 || test -d "$(distdir)/$$subdir" \
448 || $(MKDIR_P) "$(distdir)/$$subdir" \
449 || exit 1; \
432450 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
433451 $(am__relativize); \
434452 new_distdir=$$reldir; \
463481
464482 installcheck: installcheck-recursive
465483 install-strip:
466 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
467 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
468 `test -z '$(STRIP)' || \
469 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
484 if test -z '$(STRIP)'; then \
485 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
486 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
487 install; \
488 else \
489 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
490 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
491 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
492 fi
470493 mostlyclean-generic:
471494
472495 clean-generic:
544567
545568 uninstall-am:
546569
547 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) ctags-recursive \
548 install-am install-strip tags-recursive
549
550 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
551 all all-am check check-am clean clean-generic ctags \
552 ctags-recursive distclean distclean-generic distclean-tags \
553 distdir dvi dvi-am html html-am info info-am install \
554 install-am install-data install-data-am install-dvi \
555 install-dvi-am install-exec install-exec-am install-html \
556 install-html-am install-info install-info-am install-man \
557 install-pdf install-pdf-am install-ps install-ps-am \
558 install-strip installcheck installcheck-am installdirs \
559 installdirs-am maintainer-clean maintainer-clean-generic \
560 mostlyclean mostlyclean-generic pdf pdf-am ps ps-am tags \
561 tags-recursive uninstall uninstall-am
570 .MAKE: $(am__recursive_targets) install-am install-strip
571
572 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
573 check-am clean clean-generic cscopelist-am ctags ctags-am \
574 distclean distclean-generic distclean-tags distdir dvi dvi-am \
575 html html-am info info-am install install-am install-data \
576 install-data-am install-dvi install-dvi-am install-exec \
577 install-exec-am install-html install-html-am install-info \
578 install-info-am install-man install-pdf install-pdf-am \
579 install-ps install-ps-am install-strip installcheck \
580 installcheck-am installdirs installdirs-am maintainer-clean \
581 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
582 pdf-am ps ps-am tags tags-am uninstall uninstall-am
562583
563584
564585 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = share/mime/packages
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am
3652 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3753 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3854 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4157 CONFIG_HEADER = $(top_builddir)/config.h
4258 CONFIG_CLEAN_FILES =
4359 CONFIG_CLEAN_VPATH_FILES =
60 AM_V_P = $(am__v_P_@AM_V@)
61 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
62 am__v_P_0 = false
63 am__v_P_1 = :
64 AM_V_GEN = $(am__v_GEN_@AM_V@)
65 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
66 am__v_GEN_0 = @echo " GEN " $@;
67 am__v_GEN_1 =
68 AM_V_at = $(am__v_at_@AM_V@)
69 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
70 am__v_at_0 = @
71 am__v_at_1 =
4472 SOURCES =
4573 DIST_SOURCES =
74 am__can_run_installinfo = \
75 case $$AM_UPDATE_INFO_DIR in \
76 n|no|NO) false;; \
77 *) (install-info --version) >/dev/null 2>&1;; \
78 esac
4679 am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
4780 am__vpath_adj = case $$p in \
4881 $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
6497 am__base_list = \
6598 sed '$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;$$!N;s/\n/ /g' | \
6699 sed '$$!N;$$!N;$$!N;$$!N;s/\n/ /g'
100 am__uninstall_files_from_dir = { \
101 test -z "$$files" \
102 || { test ! -d "$$dir" && test ! -f "$$dir" && test ! -r "$$dir"; } \
103 || { echo " ( cd '$$dir' && rm -f" $$files ")"; \
104 $(am__cd) "$$dir" && rm -f $$files; }; \
105 }
67106 am__installdirs = "$(DESTDIR)$(xmldir)"
68107 DATA = $(xml_DATA)
108 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
69109 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
70110 ACLOCAL = @ACLOCAL@
71111 AET2_CFLAGS = @AET2_CFLAGS@
72112 AET2_LDADD = @AET2_LDADD@
73113 ALLOCA = @ALLOCA@
74114 AMTAR = @AMTAR@
115 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
75116 AUTOCONF = @AUTOCONF@
76117 AUTOHEADER = @AUTOHEADER@
77118 AUTOMAKE = @AUTOMAKE@
140181 PACKAGE_NAME = @PACKAGE_NAME@
141182 PACKAGE_STRING = @PACKAGE_STRING@
142183 PACKAGE_TARNAME = @PACKAGE_TARNAME@
184 PACKAGE_URL = @PACKAGE_URL@
143185 PACKAGE_VERSION = @PACKAGE_VERSION@
144186 PATH_SEPARATOR = @PATH_SEPARATOR@
145187 PKG_CONFIG = @PKG_CONFIG@
244286 $(am__aclocal_m4_deps):
245287 install-xmlDATA: $(xml_DATA)
246288 @$(NORMAL_INSTALL)
247 test -z "$(xmldir)" || $(MKDIR_P) "$(DESTDIR)$(xmldir)"
248289 @list='$(xml_DATA)'; test -n "$(xmldir)" || list=; \
290 if test -n "$$list"; then \
291 echo " $(MKDIR_P) '$(DESTDIR)$(xmldir)'"; \
292 $(MKDIR_P) "$(DESTDIR)$(xmldir)" || exit 1; \
293 fi; \
249294 for p in $$list; do \
250295 if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
251296 echo "$$d$$p"; \
259304 @$(NORMAL_UNINSTALL)
260305 @list='$(xml_DATA)'; test -n "$(xmldir)" || list=; \
261306 files=`for p in $$list; do echo $$p; done | sed -e 's|^.*/||'`; \
262 test -n "$$files" || exit 0; \
263 echo " ( cd '$(DESTDIR)$(xmldir)' && rm -f" $$files ")"; \
264 cd "$(DESTDIR)$(xmldir)" && rm -f $$files
265 tags: TAGS
266 TAGS:
267
268 ctags: CTAGS
269 CTAGS:
307 dir='$(DESTDIR)$(xmldir)'; $(am__uninstall_files_from_dir)
308 tags TAGS:
309
310 ctags CTAGS:
311
312 cscope cscopelist:
270313
271314
272315 distdir: $(DISTFILES)
316359
317360 installcheck: installcheck-am
318361 install-strip:
319 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
320 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
321 `test -z '$(STRIP)' || \
322 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
362 if test -z '$(STRIP)'; then \
363 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
364 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
365 install; \
366 else \
367 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
368 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
369 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
370 fi
323371 mostlyclean-generic:
324372
325373 clean-generic:
403451 $(MAKE) $(AM_MAKEFLAGS) uninstall-hook
404452 .MAKE: install-am install-data-am install-strip uninstall-am
405453
406 .PHONY: all all-am check check-am clean clean-generic distclean \
407 distclean-generic distdir dvi dvi-am html html-am info info-am \
408 install install-am install-data install-data-am \
409 install-data-hook install-dvi install-dvi-am install-exec \
410 install-exec-am install-html install-html-am install-info \
411 install-info-am install-man install-pdf install-pdf-am \
412 install-ps install-ps-am install-strip install-xmlDATA \
413 installcheck installcheck-am installdirs maintainer-clean \
414 maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
415 pdf-am ps ps-am uninstall uninstall-am uninstall-hook \
416 uninstall-xmlDATA
454 .PHONY: all all-am check check-am clean clean-generic cscopelist-am \
455 ctags-am distclean distclean-generic distdir dvi dvi-am html \
456 html-am info info-am install install-am install-data \
457 install-data-am install-data-hook install-dvi install-dvi-am \
458 install-exec install-exec-am install-html install-html-am \
459 install-info install-info-am install-man install-pdf \
460 install-pdf-am install-ps install-ps-am install-strip \
461 install-xmlDATA installcheck installcheck-am installdirs \
462 maintainer-clean maintainer-clean-generic mostlyclean \
463 mostlyclean-generic pdf pdf-am ps ps-am tags-am uninstall \
464 uninstall-am uninstall-hook uninstall-xmlDATA
417465
418466
419467 @FDO_MIME_TRUE@install-data-hook:
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3349 POST_UNINSTALL = :
3450 bin_PROGRAMS = gtkwave$(EXEEXT) twinwave$(EXEEXT)
3551 subdir = src
36 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
52 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
53 $(top_srcdir)/depcomp
3754 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3855 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3956 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
88105 twinwave_OBJECTS = $(am_twinwave_OBJECTS)
89106 twinwave_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
90107 $(am__DEPENDENCIES_1)
108 AM_V_P = $(am__v_P_@AM_V@)
109 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
110 am__v_P_0 = false
111 am__v_P_1 = :
112 AM_V_GEN = $(am__v_GEN_@AM_V@)
113 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
114 am__v_GEN_0 = @echo " GEN " $@;
115 am__v_GEN_1 =
116 AM_V_at = $(am__v_at_@AM_V@)
117 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
118 am__v_at_0 = @
119 am__v_at_1 =
91120 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
92121 depcomp = $(SHELL) $(top_srcdir)/depcomp
93122 am__depfiles_maybe = depfiles
94123 am__mv = mv -f
124 AM_V_lt = $(am__v_lt_@AM_V@)
125 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
126 am__v_lt_0 = --silent
127 am__v_lt_1 =
95128 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
96129 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
130 AM_V_CC = $(am__v_CC_@AM_V@)
131 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
132 am__v_CC_0 = @echo " CC " $@;
133 am__v_CC_1 =
97134 CCLD = $(CC)
98135 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
136 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
137 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
138 am__v_CCLD_0 = @echo " CCLD " $@;
139 am__v_CCLD_1 =
99140 SOURCES = $(gtkwave_SOURCES) $(twinwave_SOURCES)
100141 DIST_SOURCES = $(gtkwave_SOURCES) $(twinwave_SOURCES)
101 RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
102 html-recursive info-recursive install-data-recursive \
103 install-dvi-recursive install-exec-recursive \
104 install-html-recursive install-info-recursive \
105 install-pdf-recursive install-ps-recursive install-recursive \
106 installcheck-recursive installdirs-recursive pdf-recursive \
107 ps-recursive uninstall-recursive
142 RECURSIVE_TARGETS = all-recursive check-recursive cscopelist-recursive \
143 ctags-recursive dvi-recursive html-recursive info-recursive \
144 install-data-recursive install-dvi-recursive \
145 install-exec-recursive install-html-recursive \
146 install-info-recursive install-pdf-recursive \
147 install-ps-recursive install-recursive installcheck-recursive \
148 installdirs-recursive pdf-recursive ps-recursive \
149 tags-recursive uninstall-recursive
150 am__can_run_installinfo = \
151 case $$AM_UPDATE_INFO_DIR in \
152 n|no|NO) false;; \
153 *) (install-info --version) >/dev/null 2>&1;; \
154 esac
108155 RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive \
109156 distclean-recursive maintainer-clean-recursive
110 AM_RECURSIVE_TARGETS = $(RECURSIVE_TARGETS:-recursive=) \
111 $(RECURSIVE_CLEAN_TARGETS:-recursive=) tags TAGS ctags CTAGS \
157 am__recursive_targets = \
158 $(RECURSIVE_TARGETS) \
159 $(RECURSIVE_CLEAN_TARGETS) \
160 $(am__extra_recursive_targets)
161 AM_RECURSIVE_TARGETS = $(am__recursive_targets:-recursive=) TAGS CTAGS \
112162 distdir
163 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
164 # Read a list of newline-separated strings from the standard input,
165 # and print each of them once, without duplicates. Input order is
166 # *not* preserved.
167 am__uniquify_input = $(AWK) '\
168 BEGIN { nonempty = 0; } \
169 { items[$$0] = 1; nonempty = 1; } \
170 END { if (nonempty) { for (i in items) print i; }; } \
171 '
172 # Make sure the list of sources is unique. This is necessary because,
173 # e.g., the same source file might be shared among _SOURCES variables
174 # for different programs/libraries.
175 am__define_uniq_tagged_files = \
176 list='$(am__tagged_files)'; \
177 unique=`for i in $$list; do \
178 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
179 done | $(am__uniquify_input)`
113180 ETAGS = etags
114181 CTAGS = ctags
115182 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
143210 AET2_LDADD = @AET2_LDADD@
144211 ALLOCA = @ALLOCA@
145212 AMTAR = @AMTAR@
213 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
146214 AUTOCONF = @AUTOCONF@
147215 AUTOHEADER = @AUTOHEADER@
148216 AUTOMAKE = @AUTOMAKE@
211279 PACKAGE_NAME = @PACKAGE_NAME@
212280 PACKAGE_STRING = @PACKAGE_STRING@
213281 PACKAGE_TARNAME = @PACKAGE_TARNAME@
282 PACKAGE_URL = @PACKAGE_URL@
214283 PACKAGE_VERSION = @PACKAGE_VERSION@
215284 PATH_SEPARATOR = @PATH_SEPARATOR@
216285 PKG_CONFIG = @PKG_CONFIG@
354423 $(am__aclocal_m4_deps):
355424 install-binPROGRAMS: $(bin_PROGRAMS)
356425 @$(NORMAL_INSTALL)
357 test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
358426 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
427 if test -n "$$list"; then \
428 echo " $(MKDIR_P) '$(DESTDIR)$(bindir)'"; \
429 $(MKDIR_P) "$(DESTDIR)$(bindir)" || exit 1; \
430 fi; \
359431 for p in $$list; do echo "$$p $$p"; done | \
360432 sed 's/$(EXEEXT)$$//' | \
361 while read p p1; do if test -f $$p; \
362 then echo "$$p"; echo "$$p"; else :; fi; \
433 while read p p1; do if test -f $$p \
434 ; then echo "$$p"; echo "$$p"; else :; fi; \
363435 done | \
364 sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
436 sed -e 'p;s,.*/,,;n;h' \
437 -e 's|.*|.|' \
365438 -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
366439 sed 'N;N;N;s,\n, ,g' | \
367440 $(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
382455 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
383456 files=`for p in $$list; do echo "$$p"; done | \
384457 sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
385 -e 's/$$/$(EXEEXT)/' `; \
458 -e 's/$$/$(EXEEXT)/' \
459 `; \
386460 test -n "$$list" || exit 0; \
387461 echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
388462 cd "$(DESTDIR)$(bindir)" && rm -f $$files
389463
390464 clean-binPROGRAMS:
391465 -test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
392 gtkwave$(EXEEXT): $(gtkwave_OBJECTS) $(gtkwave_DEPENDENCIES)
466 gtkwave$(EXEEXT): $(gtkwave_OBJECTS) $(gtkwave_DEPENDENCIES) $(EXTRA_gtkwave_DEPENDENCIES)
393467 @rm -f gtkwave$(EXEEXT)
394 $(gtkwave_LINK) $(gtkwave_OBJECTS) $(gtkwave_LDADD) $(LIBS)
395 twinwave$(EXEEXT): $(twinwave_OBJECTS) $(twinwave_DEPENDENCIES)
468 $(AM_V_CCLD)$(gtkwave_LINK) $(gtkwave_OBJECTS) $(gtkwave_LDADD) $(LIBS)
469 twinwave$(EXEEXT): $(twinwave_OBJECTS) $(twinwave_DEPENDENCIES) $(EXTRA_twinwave_DEPENDENCIES)
396470 @rm -f twinwave$(EXEEXT)
397 $(LINK) $(twinwave_OBJECTS) $(twinwave_LDADD) $(LIBS)
471 $(AM_V_CCLD)$(LINK) $(twinwave_OBJECTS) $(twinwave_LDADD) $(LIBS)
398472
399473 mostlyclean-compile:
400474 -rm -f *.$(OBJEXT)
484558 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/zoombuttons.Po@am__quote@
485559
486560 .c.o:
487 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
488 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
489 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
561 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
562 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
563 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
490564 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
491 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
565 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
492566
493567 .c.obj:
494 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
495 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
496 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
568 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
569 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
570 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
497571 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
498 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
572 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
499573
500574 lxt2_read.o: helpers/lxt2_read.c
501 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.o -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.o `test -f 'helpers/lxt2_read.c' || echo '$(srcdir)/'`helpers/lxt2_read.c
502 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
503 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='helpers/lxt2_read.c' object='lxt2_read.o' libtool=no @AMDEPBACKSLASH@
575 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.o -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.o `test -f 'helpers/lxt2_read.c' || echo '$(srcdir)/'`helpers/lxt2_read.c
576 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
577 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='helpers/lxt2_read.c' object='lxt2_read.o' libtool=no @AMDEPBACKSLASH@
504578 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
505 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.o `test -f 'helpers/lxt2_read.c' || echo '$(srcdir)/'`helpers/lxt2_read.c
579 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.o `test -f 'helpers/lxt2_read.c' || echo '$(srcdir)/'`helpers/lxt2_read.c
506580
507581 lxt2_read.obj: helpers/lxt2_read.c
508 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.obj -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.obj `if test -f 'helpers/lxt2_read.c'; then $(CYGPATH_W) 'helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt2_read.c'; fi`
509 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
510 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='helpers/lxt2_read.c' object='lxt2_read.obj' libtool=no @AMDEPBACKSLASH@
582 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt2_read.obj -MD -MP -MF $(DEPDIR)/lxt2_read.Tpo -c -o lxt2_read.obj `if test -f 'helpers/lxt2_read.c'; then $(CYGPATH_W) 'helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt2_read.c'; fi`
583 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/lxt2_read.Tpo $(DEPDIR)/lxt2_read.Po
584 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='helpers/lxt2_read.c' object='lxt2_read.obj' libtool=no @AMDEPBACKSLASH@
511585 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
512 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.obj `if test -f 'helpers/lxt2_read.c'; then $(CYGPATH_W) 'helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt2_read.c'; fi`
586 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt2_read.obj `if test -f 'helpers/lxt2_read.c'; then $(CYGPATH_W) 'helpers/lxt2_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt2_read.c'; fi`
513587
514588 lxt_write.o: helpers/lxt_write.c
515 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt_write.o -MD -MP -MF $(DEPDIR)/lxt_write.Tpo -c -o lxt_write.o `test -f 'helpers/lxt_write.c' || echo '$(srcdir)/'`helpers/lxt_write.c
516 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/lxt_write.Tpo $(DEPDIR)/lxt_write.Po
517 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='helpers/lxt_write.c' object='lxt_write.o' libtool=no @AMDEPBACKSLASH@
589 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt_write.o -MD -MP -MF $(DEPDIR)/lxt_write.Tpo -c -o lxt_write.o `test -f 'helpers/lxt_write.c' || echo '$(srcdir)/'`helpers/lxt_write.c
590 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/lxt_write.Tpo $(DEPDIR)/lxt_write.Po
591 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='helpers/lxt_write.c' object='lxt_write.o' libtool=no @AMDEPBACKSLASH@
518592 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
519 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt_write.o `test -f 'helpers/lxt_write.c' || echo '$(srcdir)/'`helpers/lxt_write.c
593 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt_write.o `test -f 'helpers/lxt_write.c' || echo '$(srcdir)/'`helpers/lxt_write.c
520594
521595 lxt_write.obj: helpers/lxt_write.c
522 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt_write.obj -MD -MP -MF $(DEPDIR)/lxt_write.Tpo -c -o lxt_write.obj `if test -f 'helpers/lxt_write.c'; then $(CYGPATH_W) 'helpers/lxt_write.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt_write.c'; fi`
523 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/lxt_write.Tpo $(DEPDIR)/lxt_write.Po
524 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='helpers/lxt_write.c' object='lxt_write.obj' libtool=no @AMDEPBACKSLASH@
596 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT lxt_write.obj -MD -MP -MF $(DEPDIR)/lxt_write.Tpo -c -o lxt_write.obj `if test -f 'helpers/lxt_write.c'; then $(CYGPATH_W) 'helpers/lxt_write.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt_write.c'; fi`
597 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/lxt_write.Tpo $(DEPDIR)/lxt_write.Po
598 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='helpers/lxt_write.c' object='lxt_write.obj' libtool=no @AMDEPBACKSLASH@
525599 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
526 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt_write.obj `if test -f 'helpers/lxt_write.c'; then $(CYGPATH_W) 'helpers/lxt_write.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt_write.c'; fi`
600 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o lxt_write.obj `if test -f 'helpers/lxt_write.c'; then $(CYGPATH_W) 'helpers/lxt_write.c'; else $(CYGPATH_W) '$(srcdir)/helpers/lxt_write.c'; fi`
527601
528602 vzt_read.o: helpers/vzt_read.c
529 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.o -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.o `test -f 'helpers/vzt_read.c' || echo '$(srcdir)/'`helpers/vzt_read.c
530 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
531 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='helpers/vzt_read.c' object='vzt_read.o' libtool=no @AMDEPBACKSLASH@
603 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.o -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.o `test -f 'helpers/vzt_read.c' || echo '$(srcdir)/'`helpers/vzt_read.c
604 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
605 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='helpers/vzt_read.c' object='vzt_read.o' libtool=no @AMDEPBACKSLASH@
532606 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
533 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.o `test -f 'helpers/vzt_read.c' || echo '$(srcdir)/'`helpers/vzt_read.c
607 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.o `test -f 'helpers/vzt_read.c' || echo '$(srcdir)/'`helpers/vzt_read.c
534608
535609 vzt_read.obj: helpers/vzt_read.c
536 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.obj -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.obj `if test -f 'helpers/vzt_read.c'; then $(CYGPATH_W) 'helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/vzt_read.c'; fi`
537 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
538 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='helpers/vzt_read.c' object='vzt_read.obj' libtool=no @AMDEPBACKSLASH@
610 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT vzt_read.obj -MD -MP -MF $(DEPDIR)/vzt_read.Tpo -c -o vzt_read.obj `if test -f 'helpers/vzt_read.c'; then $(CYGPATH_W) 'helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/vzt_read.c'; fi`
611 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/vzt_read.Tpo $(DEPDIR)/vzt_read.Po
612 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='helpers/vzt_read.c' object='vzt_read.obj' libtool=no @AMDEPBACKSLASH@
539613 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
540 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.obj `if test -f 'helpers/vzt_read.c'; then $(CYGPATH_W) 'helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/vzt_read.c'; fi`
614 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o vzt_read.obj `if test -f 'helpers/vzt_read.c'; then $(CYGPATH_W) 'helpers/vzt_read.c'; else $(CYGPATH_W) '$(srcdir)/helpers/vzt_read.c'; fi`
541615
542616 # This directory's subdirectories are mostly independent; you can cd
543 # into them and run `make' without going through this Makefile.
544 # To change the values of `make' variables: instead of editing Makefiles,
545 # (1) if the variable is set in `config.status', edit `config.status'
546 # (which will cause the Makefiles to be regenerated when you run `make');
547 # (2) otherwise, pass the desired values on the `make' command line.
548 $(RECURSIVE_TARGETS):
617 # into them and run 'make' without going through this Makefile.
618 # To change the values of 'make' variables: instead of editing Makefiles,
619 # (1) if the variable is set in 'config.status', edit 'config.status'
620 # (which will cause the Makefiles to be regenerated when you run 'make');
621 # (2) otherwise, pass the desired values on the 'make' command line.
622 $(am__recursive_targets):
549623 @fail= failcom='exit 1'; \
550624 for f in x $$MAKEFLAGS; do \
551625 case $$f in \
555629 done; \
556630 dot_seen=no; \
557631 target=`echo $@ | sed s/-recursive//`; \
558 list='$(SUBDIRS)'; for subdir in $$list; do \
632 case "$@" in \
633 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
634 *) list='$(SUBDIRS)' ;; \
635 esac; \
636 for subdir in $$list; do \
559637 echo "Making $$target in $$subdir"; \
560638 if test "$$subdir" = "."; then \
561639 dot_seen=yes; \
570648 $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
571649 fi; test -z "$$fail"
572650
573 $(RECURSIVE_CLEAN_TARGETS):
574 @fail= failcom='exit 1'; \
575 for f in x $$MAKEFLAGS; do \
576 case $$f in \
577 *=* | --[!k]*);; \
578 *k*) failcom='fail=yes';; \
579 esac; \
580 done; \
581 dot_seen=no; \
582 case "$@" in \
583 distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
584 *) list='$(SUBDIRS)' ;; \
585 esac; \
586 rev=''; for subdir in $$list; do \
587 if test "$$subdir" = "."; then :; else \
588 rev="$$subdir $$rev"; \
589 fi; \
590 done; \
591 rev="$$rev ."; \
592 target=`echo $@ | sed s/-recursive//`; \
593 for subdir in $$rev; do \
594 echo "Making $$target in $$subdir"; \
595 if test "$$subdir" = "."; then \
596 local_target="$$target-am"; \
597 else \
598 local_target="$$target"; \
599 fi; \
600 ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
601 || eval $$failcom; \
602 done && test -z "$$fail"
603 tags-recursive:
604 list='$(SUBDIRS)'; for subdir in $$list; do \
605 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
606 done
607 ctags-recursive:
608 list='$(SUBDIRS)'; for subdir in $$list; do \
609 test "$$subdir" = . || ($(am__cd) $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
610 done
611
612 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
613 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
614 unique=`for i in $$list; do \
615 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
616 done | \
617 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
618 END { if (nonempty) { for (i in files) print i; }; }'`; \
619 mkid -fID $$unique
620 tags: TAGS
621
622 TAGS: tags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
623 $(TAGS_FILES) $(LISP)
651 ID: $(am__tagged_files)
652 $(am__define_uniq_tagged_files); mkid -fID $$unique
653 tags: tags-recursive
654 TAGS: tags
655
656 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
624657 set x; \
625658 here=`pwd`; \
626659 if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
636669 set "$$@" "$$include_option=$$here/$$subdir/TAGS"; \
637670 fi; \
638671 done; \
639 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
640 unique=`for i in $$list; do \
641 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
642 done | \
643 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
644 END { if (nonempty) { for (i in files) print i; }; }'`; \
672 $(am__define_uniq_tagged_files); \
645673 shift; \
646674 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
647675 test -n "$$unique" || unique=$$empty_fix; \
653681 $$unique; \
654682 fi; \
655683 fi
656 ctags: CTAGS
657 CTAGS: ctags-recursive $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
658 $(TAGS_FILES) $(LISP)
659 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
660 unique=`for i in $$list; do \
661 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
662 done | \
663 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
664 END { if (nonempty) { for (i in files) print i; }; }'`; \
684 ctags: ctags-recursive
685
686 CTAGS: ctags
687 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
688 $(am__define_uniq_tagged_files); \
665689 test -z "$(CTAGS_ARGS)$$unique" \
666690 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
667691 $$unique
670694 here=`$(am__cd) $(top_builddir) && pwd` \
671695 && $(am__cd) $(top_srcdir) \
672696 && gtags -i $(GTAGS_ARGS) "$$here"
697 cscopelist: cscopelist-recursive
698
699 cscopelist-am: $(am__tagged_files)
700 list='$(am__tagged_files)'; \
701 case "$(srcdir)" in \
702 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
703 *) sdir=$(subdir)/$(srcdir) ;; \
704 esac; \
705 for i in $$list; do \
706 if test -f "$$i"; then \
707 echo "$(subdir)/$$i"; \
708 else \
709 echo "$$sdir/$$i"; \
710 fi; \
711 done >> $(top_builddir)/cscope.files
673712
674713 distclean-tags:
675714 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
706745 done
707746 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
708747 if test "$$subdir" = .; then :; else \
709 test -d "$(distdir)/$$subdir" \
710 || $(MKDIR_P) "$(distdir)/$$subdir" \
711 || exit 1; \
712 fi; \
713 done
714 @list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
715 if test "$$subdir" = .; then :; else \
748 $(am__make_dryrun) \
749 || test -d "$(distdir)/$$subdir" \
750 || $(MKDIR_P) "$(distdir)/$$subdir" \
751 || exit 1; \
716752 dir1=$$subdir; dir2="$(distdir)/$$subdir"; \
717753 $(am__relativize); \
718754 new_distdir=$$reldir; \
752788
753789 installcheck: installcheck-recursive
754790 install-strip:
755 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
756 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
757 `test -z '$(STRIP)' || \
758 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
791 if test -z '$(STRIP)'; then \
792 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
793 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
794 install; \
795 else \
796 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
797 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
798 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
799 fi
759800 mostlyclean-generic:
760801
761802 clean-generic:
837878
838879 uninstall-am: uninstall-binPROGRAMS
839880
840 .MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) all check \
841 ctags-recursive install install-am install-strip \
842 tags-recursive
843
844 .PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
845 all all-am check check-am clean clean-binPROGRAMS \
846 clean-generic ctags ctags-recursive distclean \
847 distclean-compile distclean-generic distclean-tags distdir dvi \
848 dvi-am html html-am info info-am install install-am \
849 install-binPROGRAMS install-data install-data-am install-dvi \
850 install-dvi-am install-exec install-exec-am install-html \
851 install-html-am install-info install-info-am install-man \
852 install-pdf install-pdf-am install-ps install-ps-am \
853 install-strip installcheck installcheck-am installdirs \
854 installdirs-am maintainer-clean maintainer-clean-generic \
855 mostlyclean mostlyclean-compile mostlyclean-generic pdf pdf-am \
856 ps ps-am tags tags-recursive uninstall uninstall-am \
857 uninstall-binPROGRAMS
881 .MAKE: $(am__recursive_targets) all check install install-am \
882 install-strip
883
884 .PHONY: $(am__recursive_targets) CTAGS GTAGS TAGS all all-am check \
885 check-am clean clean-binPROGRAMS clean-generic cscopelist-am \
886 ctags ctags-am distclean distclean-compile distclean-generic \
887 distclean-tags distdir dvi dvi-am html html-am info info-am \
888 install install-am install-binPROGRAMS install-data \
889 install-data-am install-dvi install-dvi-am install-exec \
890 install-exec-am install-html install-html-am install-info \
891 install-info-am install-man install-pdf install-pdf-am \
892 install-ps install-ps-am install-strip installcheck \
893 installcheck-am installdirs installdirs-am maintainer-clean \
894 maintainer-clean-generic mostlyclean mostlyclean-compile \
895 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
896 uninstall-am uninstall-binPROGRAMS
858897
859898
860899 ./liblzma/libgwlzma.a: $(srcdir)/liblzma/LzmaLib.c $(srcdir)/liblzma/LzmaLib.h
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = src/cocoa
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(top_srcdir)/depcomp
3653 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3754 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3855 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4461 LIBRARIES = $(noinst_LIBRARIES)
4562 AR = ar
4663 ARFLAGS = cru
64 AM_V_AR = $(am__v_AR_@AM_V@)
65 am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@)
66 am__v_AR_0 = @echo " AR " $@;
67 am__v_AR_1 =
4768 libgtkwmacintegration_a_AR = $(AR) $(ARFLAGS)
4869 libgtkwmacintegration_a_LIBADD =
4970 am_libgtkwmacintegration_a_OBJECTS = \
5071 libgtkwmacintegration_a-cocoa_misc.$(OBJEXT)
5172 libgtkwmacintegration_a_OBJECTS = \
5273 $(am_libgtkwmacintegration_a_OBJECTS)
74 AM_V_P = $(am__v_P_@AM_V@)
75 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
76 am__v_P_0 = false
77 am__v_P_1 = :
78 AM_V_GEN = $(am__v_GEN_@AM_V@)
79 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
80 am__v_GEN_0 = @echo " GEN " $@;
81 am__v_GEN_1 =
82 AM_V_at = $(am__v_at_@AM_V@)
83 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
84 am__v_at_0 = @
85 am__v_at_1 =
5386 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5487 depcomp = $(SHELL) $(top_srcdir)/depcomp
5588 am__depfiles_maybe = depfiles
5689 am__mv = mv -f
90 AM_V_lt = $(am__v_lt_@AM_V@)
91 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
92 am__v_lt_0 = --silent
93 am__v_lt_1 =
5794 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5895 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
96 AM_V_CC = $(am__v_CC_@AM_V@)
97 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
98 am__v_CC_0 = @echo " CC " $@;
99 am__v_CC_1 =
59100 CCLD = $(CC)
60101 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
102 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
103 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
104 am__v_CCLD_0 = @echo " CCLD " $@;
105 am__v_CCLD_1 =
61106 SOURCES = $(libgtkwmacintegration_a_SOURCES)
62107 DIST_SOURCES = $(libgtkwmacintegration_a_SOURCES)
108 am__can_run_installinfo = \
109 case $$AM_UPDATE_INFO_DIR in \
110 n|no|NO) false;; \
111 *) (install-info --version) >/dev/null 2>&1;; \
112 esac
113 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
114 # Read a list of newline-separated strings from the standard input,
115 # and print each of them once, without duplicates. Input order is
116 # *not* preserved.
117 am__uniquify_input = $(AWK) '\
118 BEGIN { nonempty = 0; } \
119 { items[$$0] = 1; nonempty = 1; } \
120 END { if (nonempty) { for (i in items) print i; }; } \
121 '
122 # Make sure the list of sources is unique. This is necessary because,
123 # e.g., the same source file might be shared among _SOURCES variables
124 # for different programs/libraries.
125 am__define_uniq_tagged_files = \
126 list='$(am__tagged_files)'; \
127 unique=`for i in $$list; do \
128 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
129 done | $(am__uniquify_input)`
63130 ETAGS = etags
64131 CTAGS = ctags
65132 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
68135 AET2_LDADD = @AET2_LDADD@
69136 ALLOCA = @ALLOCA@
70137 AMTAR = @AMTAR@
138 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
71139 AUTOCONF = @AUTOCONF@
72140 AUTOHEADER = @AUTOHEADER@
73141 AUTOMAKE = @AUTOMAKE@
136204 PACKAGE_NAME = @PACKAGE_NAME@
137205 PACKAGE_STRING = @PACKAGE_STRING@
138206 PACKAGE_TARNAME = @PACKAGE_TARNAME@
207 PACKAGE_URL = @PACKAGE_URL@
139208 PACKAGE_VERSION = @PACKAGE_VERSION@
140209 PATH_SEPARATOR = @PATH_SEPARATOR@
141210 PKG_CONFIG = @PKG_CONFIG@
245314
246315 clean-noinstLIBRARIES:
247316 -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
248 libgtkwmacintegration.a: $(libgtkwmacintegration_a_OBJECTS) $(libgtkwmacintegration_a_DEPENDENCIES)
249 -rm -f libgtkwmacintegration.a
250 $(libgtkwmacintegration_a_AR) libgtkwmacintegration.a $(libgtkwmacintegration_a_OBJECTS) $(libgtkwmacintegration_a_LIBADD)
251 $(RANLIB) libgtkwmacintegration.a
317 libgtkwmacintegration.a: $(libgtkwmacintegration_a_OBJECTS) $(libgtkwmacintegration_a_DEPENDENCIES) $(EXTRA_libgtkwmacintegration_a_DEPENDENCIES)
318 $(AM_V_at)-rm -f libgtkwmacintegration.a
319 $(AM_V_AR)$(libgtkwmacintegration_a_AR) libgtkwmacintegration.a $(libgtkwmacintegration_a_OBJECTS) $(libgtkwmacintegration_a_LIBADD)
320 $(AM_V_at)$(RANLIB) libgtkwmacintegration.a
252321
253322 mostlyclean-compile:
254323 -rm -f *.$(OBJEXT)
259328 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Po@am__quote@
260329
261330 .c.o:
262 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
263 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
264 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
331 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
332 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
333 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
265334 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
266 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
335 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
267336
268337 .c.obj:
269 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
270 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
271 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
338 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
339 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
340 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
272341 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
273 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
342 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
274343
275344 libgtkwmacintegration_a-cocoa_misc.o: cocoa_misc.c
276 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -MT libgtkwmacintegration_a-cocoa_misc.o -MD -MP -MF $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo -c -o libgtkwmacintegration_a-cocoa_misc.o `test -f 'cocoa_misc.c' || echo '$(srcdir)/'`cocoa_misc.c
277 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Po
278 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='cocoa_misc.c' object='libgtkwmacintegration_a-cocoa_misc.o' libtool=no @AMDEPBACKSLASH@
345 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -MT libgtkwmacintegration_a-cocoa_misc.o -MD -MP -MF $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo -c -o libgtkwmacintegration_a-cocoa_misc.o `test -f 'cocoa_misc.c' || echo '$(srcdir)/'`cocoa_misc.c
346 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Po
347 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='cocoa_misc.c' object='libgtkwmacintegration_a-cocoa_misc.o' libtool=no @AMDEPBACKSLASH@
279348 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
280 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -c -o libgtkwmacintegration_a-cocoa_misc.o `test -f 'cocoa_misc.c' || echo '$(srcdir)/'`cocoa_misc.c
349 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -c -o libgtkwmacintegration_a-cocoa_misc.o `test -f 'cocoa_misc.c' || echo '$(srcdir)/'`cocoa_misc.c
281350
282351 libgtkwmacintegration_a-cocoa_misc.obj: cocoa_misc.c
283 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -MT libgtkwmacintegration_a-cocoa_misc.obj -MD -MP -MF $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo -c -o libgtkwmacintegration_a-cocoa_misc.obj `if test -f 'cocoa_misc.c'; then $(CYGPATH_W) 'cocoa_misc.c'; else $(CYGPATH_W) '$(srcdir)/cocoa_misc.c'; fi`
284 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Po
285 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='cocoa_misc.c' object='libgtkwmacintegration_a-cocoa_misc.obj' libtool=no @AMDEPBACKSLASH@
352 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -MT libgtkwmacintegration_a-cocoa_misc.obj -MD -MP -MF $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo -c -o libgtkwmacintegration_a-cocoa_misc.obj `if test -f 'cocoa_misc.c'; then $(CYGPATH_W) 'cocoa_misc.c'; else $(CYGPATH_W) '$(srcdir)/cocoa_misc.c'; fi`
353 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Tpo $(DEPDIR)/libgtkwmacintegration_a-cocoa_misc.Po
354 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='cocoa_misc.c' object='libgtkwmacintegration_a-cocoa_misc.obj' libtool=no @AMDEPBACKSLASH@
286355 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
287 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -c -o libgtkwmacintegration_a-cocoa_misc.obj `if test -f 'cocoa_misc.c'; then $(CYGPATH_W) 'cocoa_misc.c'; else $(CYGPATH_W) '$(srcdir)/cocoa_misc.c'; fi`
288
289 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
290 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
291 unique=`for i in $$list; do \
292 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
293 done | \
294 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
295 END { if (nonempty) { for (i in files) print i; }; }'`; \
296 mkid -fID $$unique
297 tags: TAGS
298
299 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
300 $(TAGS_FILES) $(LISP)
356 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(libgtkwmacintegration_a_CFLAGS) $(CFLAGS) -c -o libgtkwmacintegration_a-cocoa_misc.obj `if test -f 'cocoa_misc.c'; then $(CYGPATH_W) 'cocoa_misc.c'; else $(CYGPATH_W) '$(srcdir)/cocoa_misc.c'; fi`
357
358 ID: $(am__tagged_files)
359 $(am__define_uniq_tagged_files); mkid -fID $$unique
360 tags: tags-am
361 TAGS: tags
362
363 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
301364 set x; \
302365 here=`pwd`; \
303 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
304 unique=`for i in $$list; do \
305 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
306 done | \
307 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
308 END { if (nonempty) { for (i in files) print i; }; }'`; \
366 $(am__define_uniq_tagged_files); \
309367 shift; \
310368 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
311369 test -n "$$unique" || unique=$$empty_fix; \
317375 $$unique; \
318376 fi; \
319377 fi
320 ctags: CTAGS
321 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
322 $(TAGS_FILES) $(LISP)
323 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
324 unique=`for i in $$list; do \
325 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
326 done | \
327 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
328 END { if (nonempty) { for (i in files) print i; }; }'`; \
378 ctags: ctags-am
379
380 CTAGS: ctags
381 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
382 $(am__define_uniq_tagged_files); \
329383 test -z "$(CTAGS_ARGS)$$unique" \
330384 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
331385 $$unique
334388 here=`$(am__cd) $(top_builddir) && pwd` \
335389 && $(am__cd) $(top_srcdir) \
336390 && gtags -i $(GTAGS_ARGS) "$$here"
391 cscopelist: cscopelist-am
392
393 cscopelist-am: $(am__tagged_files)
394 list='$(am__tagged_files)'; \
395 case "$(srcdir)" in \
396 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
397 *) sdir=$(subdir)/$(srcdir) ;; \
398 esac; \
399 for i in $$list; do \
400 if test -f "$$i"; then \
401 echo "$(subdir)/$$i"; \
402 else \
403 echo "$$sdir/$$i"; \
404 fi; \
405 done >> $(top_builddir)/cscope.files
337406
338407 distclean-tags:
339408 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
382451
383452 installcheck: installcheck-am
384453 install-strip:
385 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
386 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
387 `test -z '$(STRIP)' || \
388 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
454 if test -z '$(STRIP)'; then \
455 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
456 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
457 install; \
458 else \
459 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
460 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
461 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
462 fi
389463 mostlyclean-generic:
390464
391465 clean-generic:
468542
469543 .MAKE: install-am install-strip
470544
471 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
472 clean-noinstLIBRARIES ctags distclean distclean-compile \
473 distclean-generic distclean-tags distdir dvi dvi-am html \
474 html-am info info-am install install-am install-data \
475 install-data-am install-dvi install-dvi-am install-exec \
476 install-exec-am install-html install-html-am install-info \
477 install-info-am install-man install-pdf install-pdf-am \
478 install-ps install-ps-am install-strip installcheck \
479 installcheck-am installdirs maintainer-clean \
545 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
546 clean-noinstLIBRARIES cscopelist-am ctags ctags-am distclean \
547 distclean-compile distclean-generic distclean-tags distdir dvi \
548 dvi-am html html-am info info-am install install-am \
549 install-data install-data-am install-dvi install-dvi-am \
550 install-exec install-exec-am install-html install-html-am \
551 install-info install-info-am install-man install-pdf \
552 install-pdf-am install-ps install-ps-am install-strip \
553 installcheck installcheck-am installdirs maintainer-clean \
480554 maintainer-clean-generic mostlyclean mostlyclean-compile \
481 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
555 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
482556 uninstall-am
483557
484558
22752275 #endif
22762276
22772277 /* Reload state from file */
2278 read_save_helper(reload_tmpfilename, NULL, NULL, NULL, NULL, NULL);
2278 {
2279 char is_gtkw_save_file_cached = GLOBALS->is_gtkw_save_file;
2280 read_save_helper(reload_tmpfilename, NULL, NULL, NULL, NULL, NULL);
2281 GLOBALS->is_gtkw_save_file = is_gtkw_save_file_cached;
2282 }
22792283
22802284 /* again doing this here (read_save_helper does it) seems to be necessary in order to keep display in sync */
22812285 GLOBALS->signalwindow_width_dirty=1;
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3753 vcd2lxt2$(EXEEXT) vcd2vzt$(EXEEXT) vzt2vcd$(EXEEXT) \
3854 vztminer$(EXEEXT)
3955 subdir = src/helpers
40 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
56 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
57 $(top_srcdir)/depcomp
4158 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
4259 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
4360 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
101118 vztminer_OBJECTS = $(am_vztminer_OBJECTS)
102119 vztminer_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
103120 $(am__DEPENDENCIES_2)
121 AM_V_P = $(am__v_P_@AM_V@)
122 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
123 am__v_P_0 = false
124 am__v_P_1 = :
125 AM_V_GEN = $(am__v_GEN_@AM_V@)
126 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
127 am__v_GEN_0 = @echo " GEN " $@;
128 am__v_GEN_1 =
129 AM_V_at = $(am__v_at_@AM_V@)
130 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
131 am__v_at_0 = @
132 am__v_at_1 =
104133 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
105134 depcomp = $(SHELL) $(top_srcdir)/depcomp
106135 am__depfiles_maybe = depfiles
107136 am__mv = mv -f
137 AM_V_lt = $(am__v_lt_@AM_V@)
138 am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
139 am__v_lt_0 = --silent
140 am__v_lt_1 =
108141 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
109142 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
143 AM_V_CC = $(am__v_CC_@AM_V@)
144 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
145 am__v_CC_0 = @echo " CC " $@;
146 am__v_CC_1 =
110147 CCLD = $(CC)
111148 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
149 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
150 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
151 am__v_CCLD_0 = @echo " CCLD " $@;
152 am__v_CCLD_1 =
112153 SOURCES = $(evcd2vcd_SOURCES) $(fst2vcd_SOURCES) $(fstminer_SOURCES) \
113154 $(ghwdump_SOURCES) $(lxt2miner_SOURCES) $(lxt2vcd_SOURCES) \
114155 shmidcat.c $(vcd2fst_SOURCES) $(vcd2lxt_SOURCES) \
119160 $(lxt2vcd_SOURCES) shmidcat.c $(vcd2fst_SOURCES) \
120161 $(vcd2lxt_SOURCES) $(vcd2lxt2_SOURCES) $(vcd2vzt_SOURCES) \
121162 $(vzt2vcd_SOURCES) $(vztminer_SOURCES)
163 am__can_run_installinfo = \
164 case $$AM_UPDATE_INFO_DIR in \
165 n|no|NO) false;; \
166 *) (install-info --version) >/dev/null 2>&1;; \
167 esac
168 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
169 # Read a list of newline-separated strings from the standard input,
170 # and print each of them once, without duplicates. Input order is
171 # *not* preserved.
172 am__uniquify_input = $(AWK) '\
173 BEGIN { nonempty = 0; } \
174 { items[$$0] = 1; nonempty = 1; } \
175 END { if (nonempty) { for (i in items) print i; }; } \
176 '
177 # Make sure the list of sources is unique. This is necessary because,
178 # e.g., the same source file might be shared among _SOURCES variables
179 # for different programs/libraries.
180 am__define_uniq_tagged_files = \
181 list='$(am__tagged_files)'; \
182 unique=`for i in $$list; do \
183 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
184 done | $(am__uniquify_input)`
122185 ETAGS = etags
123186 CTAGS = ctags
124187 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
127190 AET2_LDADD = @AET2_LDADD@
128191 ALLOCA = @ALLOCA@
129192 AMTAR = @AMTAR@
193 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
130194 AUTOCONF = @AUTOCONF@
131195 AUTOHEADER = @AUTOHEADER@
132196 AUTOMAKE = @AUTOMAKE@
195259 PACKAGE_NAME = @PACKAGE_NAME@
196260 PACKAGE_STRING = @PACKAGE_STRING@
197261 PACKAGE_TARNAME = @PACKAGE_TARNAME@
262 PACKAGE_URL = @PACKAGE_URL@
198263 PACKAGE_VERSION = @PACKAGE_VERSION@
199264 PATH_SEPARATOR = @PATH_SEPARATOR@
200265 PKG_CONFIG = @PKG_CONFIG@
322387 $(am__aclocal_m4_deps):
323388 install-binPROGRAMS: $(bin_PROGRAMS)
324389 @$(NORMAL_INSTALL)
325 test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
326390 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
391 if test -n "$$list"; then \
392 echo " $(MKDIR_P) '$(DESTDIR)$(bindir)'"; \
393 $(MKDIR_P) "$(DESTDIR)$(bindir)" || exit 1; \
394 fi; \
327395 for p in $$list; do echo "$$p $$p"; done | \
328396 sed 's/$(EXEEXT)$$//' | \
329 while read p p1; do if test -f $$p; \
330 then echo "$$p"; echo "$$p"; else :; fi; \
397 while read p p1; do if test -f $$p \
398 ; then echo "$$p"; echo "$$p"; else :; fi; \
331399 done | \
332 sed -e 'p;s,.*/,,;n;h' -e 's|.*|.|' \
400 sed -e 'p;s,.*/,,;n;h' \
401 -e 's|.*|.|' \
333402 -e 'p;x;s,.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/' | \
334403 sed 'N;N;N;s,\n, ,g' | \
335404 $(AWK) 'BEGIN { files["."] = ""; dirs["."] = 1 } \
350419 @list='$(bin_PROGRAMS)'; test -n "$(bindir)" || list=; \
351420 files=`for p in $$list; do echo "$$p"; done | \
352421 sed -e 'h;s,^.*/,,;s/$(EXEEXT)$$//;$(transform)' \
353 -e 's/$$/$(EXEEXT)/' `; \
422 -e 's/$$/$(EXEEXT)/' \
423 `; \
354424 test -n "$$list" || exit 0; \
355425 echo " ( cd '$(DESTDIR)$(bindir)' && rm -f" $$files ")"; \
356426 cd "$(DESTDIR)$(bindir)" && rm -f $$files
357427
358428 clean-binPROGRAMS:
359429 -test -z "$(bin_PROGRAMS)" || rm -f $(bin_PROGRAMS)
360 evcd2vcd$(EXEEXT): $(evcd2vcd_OBJECTS) $(evcd2vcd_DEPENDENCIES)
430 evcd2vcd$(EXEEXT): $(evcd2vcd_OBJECTS) $(evcd2vcd_DEPENDENCIES) $(EXTRA_evcd2vcd_DEPENDENCIES)
361431 @rm -f evcd2vcd$(EXEEXT)
362 $(LINK) $(evcd2vcd_OBJECTS) $(evcd2vcd_LDADD) $(LIBS)
363 fst2vcd$(EXEEXT): $(fst2vcd_OBJECTS) $(fst2vcd_DEPENDENCIES)
432 $(AM_V_CCLD)$(LINK) $(evcd2vcd_OBJECTS) $(evcd2vcd_LDADD) $(LIBS)
433 fst2vcd$(EXEEXT): $(fst2vcd_OBJECTS) $(fst2vcd_DEPENDENCIES) $(EXTRA_fst2vcd_DEPENDENCIES)
364434 @rm -f fst2vcd$(EXEEXT)
365 $(LINK) $(fst2vcd_OBJECTS) $(fst2vcd_LDADD) $(LIBS)
366 fstminer$(EXEEXT): $(fstminer_OBJECTS) $(fstminer_DEPENDENCIES)
435 $(AM_V_CCLD)$(LINK) $(fst2vcd_OBJECTS) $(fst2vcd_LDADD) $(LIBS)
436 fstminer$(EXEEXT): $(fstminer_OBJECTS) $(fstminer_DEPENDENCIES) $(EXTRA_fstminer_DEPENDENCIES)
367437 @rm -f fstminer$(EXEEXT)
368 $(LINK) $(fstminer_OBJECTS) $(fstminer_LDADD) $(LIBS)
369 ghwdump$(EXEEXT): $(ghwdump_OBJECTS) $(ghwdump_DEPENDENCIES)
438 $(AM_V_CCLD)$(LINK) $(fstminer_OBJECTS) $(fstminer_LDADD) $(LIBS)
439 ghwdump$(EXEEXT): $(ghwdump_OBJECTS) $(ghwdump_DEPENDENCIES) $(EXTRA_ghwdump_DEPENDENCIES)
370440 @rm -f ghwdump$(EXEEXT)
371 $(LINK) $(ghwdump_OBJECTS) $(ghwdump_LDADD) $(LIBS)
372 lxt2miner$(EXEEXT): $(lxt2miner_OBJECTS) $(lxt2miner_DEPENDENCIES)
441 $(AM_V_CCLD)$(LINK) $(ghwdump_OBJECTS) $(ghwdump_LDADD) $(LIBS)
442 lxt2miner$(EXEEXT): $(lxt2miner_OBJECTS) $(lxt2miner_DEPENDENCIES) $(EXTRA_lxt2miner_DEPENDENCIES)
373443 @rm -f lxt2miner$(EXEEXT)
374 $(LINK) $(lxt2miner_OBJECTS) $(lxt2miner_LDADD) $(LIBS)
375 lxt2vcd$(EXEEXT): $(lxt2vcd_OBJECTS) $(lxt2vcd_DEPENDENCIES)
444 $(AM_V_CCLD)$(LINK) $(lxt2miner_OBJECTS) $(lxt2miner_LDADD) $(LIBS)
445 lxt2vcd$(EXEEXT): $(lxt2vcd_OBJECTS) $(lxt2vcd_DEPENDENCIES) $(EXTRA_lxt2vcd_DEPENDENCIES)
376446 @rm -f lxt2vcd$(EXEEXT)
377 $(LINK) $(lxt2vcd_OBJECTS) $(lxt2vcd_LDADD) $(LIBS)
378 shmidcat$(EXEEXT): $(shmidcat_OBJECTS) $(shmidcat_DEPENDENCIES)
447 $(AM_V_CCLD)$(LINK) $(lxt2vcd_OBJECTS) $(lxt2vcd_LDADD) $(LIBS)
448 shmidcat$(EXEEXT): $(shmidcat_OBJECTS) $(shmidcat_DEPENDENCIES) $(EXTRA_shmidcat_DEPENDENCIES)
379449 @rm -f shmidcat$(EXEEXT)
380 $(LINK) $(shmidcat_OBJECTS) $(shmidcat_LDADD) $(LIBS)
381 vcd2fst$(EXEEXT): $(vcd2fst_OBJECTS) $(vcd2fst_DEPENDENCIES)
450 $(AM_V_CCLD)$(LINK) $(shmidcat_OBJECTS) $(shmidcat_LDADD) $(LIBS)
451 vcd2fst$(EXEEXT): $(vcd2fst_OBJECTS) $(vcd2fst_DEPENDENCIES) $(EXTRA_vcd2fst_DEPENDENCIES)
382452 @rm -f vcd2fst$(EXEEXT)
383 $(LINK) $(vcd2fst_OBJECTS) $(vcd2fst_LDADD) $(LIBS)
384 vcd2lxt$(EXEEXT): $(vcd2lxt_OBJECTS) $(vcd2lxt_DEPENDENCIES)
453 $(AM_V_CCLD)$(LINK) $(vcd2fst_OBJECTS) $(vcd2fst_LDADD) $(LIBS)
454 vcd2lxt$(EXEEXT): $(vcd2lxt_OBJECTS) $(vcd2lxt_DEPENDENCIES) $(EXTRA_vcd2lxt_DEPENDENCIES)
385455 @rm -f vcd2lxt$(EXEEXT)
386 $(LINK) $(vcd2lxt_OBJECTS) $(vcd2lxt_LDADD) $(LIBS)
387 vcd2lxt2$(EXEEXT): $(vcd2lxt2_OBJECTS) $(vcd2lxt2_DEPENDENCIES)
456 $(AM_V_CCLD)$(LINK) $(vcd2lxt_OBJECTS) $(vcd2lxt_LDADD) $(LIBS)
457 vcd2lxt2$(EXEEXT): $(vcd2lxt2_OBJECTS) $(vcd2lxt2_DEPENDENCIES) $(EXTRA_vcd2lxt2_DEPENDENCIES)
388458 @rm -f vcd2lxt2$(EXEEXT)
389 $(LINK) $(vcd2lxt2_OBJECTS) $(vcd2lxt2_LDADD) $(LIBS)
390 vcd2vzt$(EXEEXT): $(vcd2vzt_OBJECTS) $(vcd2vzt_DEPENDENCIES)
459 $(AM_V_CCLD)$(LINK) $(vcd2lxt2_OBJECTS) $(vcd2lxt2_LDADD) $(LIBS)
460 vcd2vzt$(EXEEXT): $(vcd2vzt_OBJECTS) $(vcd2vzt_DEPENDENCIES) $(EXTRA_vcd2vzt_DEPENDENCIES)
391461 @rm -f vcd2vzt$(EXEEXT)
392 $(LINK) $(vcd2vzt_OBJECTS) $(vcd2vzt_LDADD) $(LIBS)
393 vzt2vcd$(EXEEXT): $(vzt2vcd_OBJECTS) $(vzt2vcd_DEPENDENCIES)
462 $(AM_V_CCLD)$(LINK) $(vcd2vzt_OBJECTS) $(vcd2vzt_LDADD) $(LIBS)
463 vzt2vcd$(EXEEXT): $(vzt2vcd_OBJECTS) $(vzt2vcd_DEPENDENCIES) $(EXTRA_vzt2vcd_DEPENDENCIES)
394464 @rm -f vzt2vcd$(EXEEXT)
395 $(LINK) $(vzt2vcd_OBJECTS) $(vzt2vcd_LDADD) $(LIBS)
396 vztminer$(EXEEXT): $(vztminer_OBJECTS) $(vztminer_DEPENDENCIES)
465 $(AM_V_CCLD)$(LINK) $(vzt2vcd_OBJECTS) $(vzt2vcd_LDADD) $(LIBS)
466 vztminer$(EXEEXT): $(vztminer_OBJECTS) $(vztminer_DEPENDENCIES) $(EXTRA_vztminer_DEPENDENCIES)
397467 @rm -f vztminer$(EXEEXT)
398 $(LINK) $(vztminer_OBJECTS) $(vztminer_LDADD) $(LIBS)
468 $(AM_V_CCLD)$(LINK) $(vztminer_OBJECTS) $(vztminer_LDADD) $(LIBS)
399469
400470 mostlyclean-compile:
401471 -rm -f *.$(OBJEXT)
431501 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/vztminer.Po@am__quote@
432502
433503 .c.o:
434 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
435 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
436 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
437 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
438 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
504 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
505 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
506 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
507 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
508 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
439509
440510 .c.obj:
441 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
442 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
443 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
444 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
445 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
511 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
512 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
513 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
514 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
515 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
446516
447517 jrb.o: $(srcdir)/../../contrib/rtlbrowse/jrb.c
448 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT jrb.o -MD -MP -MF $(DEPDIR)/jrb.Tpo -c -o jrb.o `test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c' || echo '$(srcdir)/'`$(srcdir)/../../contrib/rtlbrowse/jrb.c
449 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/jrb.Tpo $(DEPDIR)/jrb.Po
450 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../contrib/rtlbrowse/jrb.c' object='jrb.o' libtool=no @AMDEPBACKSLASH@
451 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
452 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o jrb.o `test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c' || echo '$(srcdir)/'`$(srcdir)/../../contrib/rtlbrowse/jrb.c
518 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT jrb.o -MD -MP -MF $(DEPDIR)/jrb.Tpo -c -o jrb.o `test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c' || echo '$(srcdir)/'`$(srcdir)/../../contrib/rtlbrowse/jrb.c
519 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/jrb.Tpo $(DEPDIR)/jrb.Po
520 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../contrib/rtlbrowse/jrb.c' object='jrb.o' libtool=no @AMDEPBACKSLASH@
521 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
522 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o jrb.o `test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c' || echo '$(srcdir)/'`$(srcdir)/../../contrib/rtlbrowse/jrb.c
453523
454524 jrb.obj: $(srcdir)/../../contrib/rtlbrowse/jrb.c
455 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT jrb.obj -MD -MP -MF $(DEPDIR)/jrb.Tpo -c -o jrb.obj `if test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; then $(CYGPATH_W) '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../contrib/rtlbrowse/jrb.c'; fi`
456 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/jrb.Tpo $(DEPDIR)/jrb.Po
457 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../../contrib/rtlbrowse/jrb.c' object='jrb.obj' libtool=no @AMDEPBACKSLASH@
458 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
459 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o jrb.obj `if test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; then $(CYGPATH_W) '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../contrib/rtlbrowse/jrb.c'; fi`
525 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT jrb.obj -MD -MP -MF $(DEPDIR)/jrb.Tpo -c -o jrb.obj `if test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; then $(CYGPATH_W) '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../contrib/rtlbrowse/jrb.c'; fi`
526 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/jrb.Tpo $(DEPDIR)/jrb.Po
527 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../../contrib/rtlbrowse/jrb.c' object='jrb.obj' libtool=no @AMDEPBACKSLASH@
528 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
529 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o jrb.obj `if test -f '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; then $(CYGPATH_W) '$(srcdir)/../../contrib/rtlbrowse/jrb.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../../contrib/rtlbrowse/jrb.c'; fi`
460530
461531 fastlz.o: $(srcdir)/fst/fastlz.c
462 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.o -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.o `test -f '$(srcdir)/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/fst/fastlz.c
463 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
464 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/fst/fastlz.c' object='fastlz.o' libtool=no @AMDEPBACKSLASH@
465 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
466 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.o `test -f '$(srcdir)/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/fst/fastlz.c
532 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.o -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.o `test -f '$(srcdir)/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/fst/fastlz.c
533 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
534 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/fst/fastlz.c' object='fastlz.o' libtool=no @AMDEPBACKSLASH@
535 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
536 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.o `test -f '$(srcdir)/fst/fastlz.c' || echo '$(srcdir)/'`$(srcdir)/fst/fastlz.c
467537
468538 fastlz.obj: $(srcdir)/fst/fastlz.c
469 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.obj -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.obj `if test -f '$(srcdir)/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fastlz.c'; fi`
470 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
471 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/fst/fastlz.c' object='fastlz.obj' libtool=no @AMDEPBACKSLASH@
472 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
473 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.obj `if test -f '$(srcdir)/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fastlz.c'; fi`
539 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fastlz.obj -MD -MP -MF $(DEPDIR)/fastlz.Tpo -c -o fastlz.obj `if test -f '$(srcdir)/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fastlz.c'; fi`
540 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fastlz.Tpo $(DEPDIR)/fastlz.Po
541 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/fst/fastlz.c' object='fastlz.obj' libtool=no @AMDEPBACKSLASH@
542 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
543 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fastlz.obj `if test -f '$(srcdir)/fst/fastlz.c'; then $(CYGPATH_W) '$(srcdir)/fst/fastlz.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fastlz.c'; fi`
474544
475545 fstapi.o: $(srcdir)/fst/fstapi.c
476 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.o -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.o `test -f '$(srcdir)/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/fst/fstapi.c
477 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
478 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/fst/fstapi.c' object='fstapi.o' libtool=no @AMDEPBACKSLASH@
479 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
480 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.o `test -f '$(srcdir)/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/fst/fstapi.c
546 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.o -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.o `test -f '$(srcdir)/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/fst/fstapi.c
547 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
548 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/fst/fstapi.c' object='fstapi.o' libtool=no @AMDEPBACKSLASH@
549 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
550 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.o `test -f '$(srcdir)/fst/fstapi.c' || echo '$(srcdir)/'`$(srcdir)/fst/fstapi.c
481551
482552 fstapi.obj: $(srcdir)/fst/fstapi.c
483 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.obj -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.obj `if test -f '$(srcdir)/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fstapi.c'; fi`
484 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
485 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/fst/fstapi.c' object='fstapi.obj' libtool=no @AMDEPBACKSLASH@
486 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
487 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.obj `if test -f '$(srcdir)/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fstapi.c'; fi`
553 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fstapi.obj -MD -MP -MF $(DEPDIR)/fstapi.Tpo -c -o fstapi.obj `if test -f '$(srcdir)/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fstapi.c'; fi`
554 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/fstapi.Tpo $(DEPDIR)/fstapi.Po
555 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/fst/fstapi.c' object='fstapi.obj' libtool=no @AMDEPBACKSLASH@
556 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
557 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fstapi.obj `if test -f '$(srcdir)/fst/fstapi.c'; then $(CYGPATH_W) '$(srcdir)/fst/fstapi.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/fst/fstapi.c'; fi`
488558
489559 ghwlib.o: $(srcdir)/../ghwlib.c
490 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT ghwlib.o -MD -MP -MF $(DEPDIR)/ghwlib.Tpo -c -o ghwlib.o `test -f '$(srcdir)/../ghwlib.c' || echo '$(srcdir)/'`$(srcdir)/../ghwlib.c
491 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/ghwlib.Tpo $(DEPDIR)/ghwlib.Po
492 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../ghwlib.c' object='ghwlib.o' libtool=no @AMDEPBACKSLASH@
493 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
494 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o ghwlib.o `test -f '$(srcdir)/../ghwlib.c' || echo '$(srcdir)/'`$(srcdir)/../ghwlib.c
560 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT ghwlib.o -MD -MP -MF $(DEPDIR)/ghwlib.Tpo -c -o ghwlib.o `test -f '$(srcdir)/../ghwlib.c' || echo '$(srcdir)/'`$(srcdir)/../ghwlib.c
561 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/ghwlib.Tpo $(DEPDIR)/ghwlib.Po
562 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../ghwlib.c' object='ghwlib.o' libtool=no @AMDEPBACKSLASH@
563 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
564 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o ghwlib.o `test -f '$(srcdir)/../ghwlib.c' || echo '$(srcdir)/'`$(srcdir)/../ghwlib.c
495565
496566 ghwlib.obj: $(srcdir)/../ghwlib.c
497 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT ghwlib.obj -MD -MP -MF $(DEPDIR)/ghwlib.Tpo -c -o ghwlib.obj `if test -f '$(srcdir)/../ghwlib.c'; then $(CYGPATH_W) '$(srcdir)/../ghwlib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../ghwlib.c'; fi`
498 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/ghwlib.Tpo $(DEPDIR)/ghwlib.Po
499 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../ghwlib.c' object='ghwlib.obj' libtool=no @AMDEPBACKSLASH@
500 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
501 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o ghwlib.obj `if test -f '$(srcdir)/../ghwlib.c'; then $(CYGPATH_W) '$(srcdir)/../ghwlib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../ghwlib.c'; fi`
567 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT ghwlib.obj -MD -MP -MF $(DEPDIR)/ghwlib.Tpo -c -o ghwlib.obj `if test -f '$(srcdir)/../ghwlib.c'; then $(CYGPATH_W) '$(srcdir)/../ghwlib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../ghwlib.c'; fi`
568 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/ghwlib.Tpo $(DEPDIR)/ghwlib.Po
569 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../ghwlib.c' object='ghwlib.obj' libtool=no @AMDEPBACKSLASH@
570 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
571 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o ghwlib.obj `if test -f '$(srcdir)/../ghwlib.c'; then $(CYGPATH_W) '$(srcdir)/../ghwlib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../ghwlib.c'; fi`
502572
503573 LzmaLib.o: $(srcdir)/../liblzma/LzmaLib.c
504 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.o -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.o `test -f '$(srcdir)/../liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../liblzma/LzmaLib.c
505 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
506 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../liblzma/LzmaLib.c' object='LzmaLib.o' libtool=no @AMDEPBACKSLASH@
507 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
508 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.o `test -f '$(srcdir)/../liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../liblzma/LzmaLib.c
574 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.o -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.o `test -f '$(srcdir)/../liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../liblzma/LzmaLib.c
575 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
576 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../liblzma/LzmaLib.c' object='LzmaLib.o' libtool=no @AMDEPBACKSLASH@
577 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
578 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.o `test -f '$(srcdir)/../liblzma/LzmaLib.c' || echo '$(srcdir)/'`$(srcdir)/../liblzma/LzmaLib.c
509579
510580 LzmaLib.obj: $(srcdir)/../liblzma/LzmaLib.c
511 @am__fastdepCC_TRUE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.obj -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.obj `if test -f '$(srcdir)/../liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../liblzma/LzmaLib.c'; fi`
512 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
513 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$(srcdir)/../liblzma/LzmaLib.c' object='LzmaLib.obj' libtool=no @AMDEPBACKSLASH@
514 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
515 @am__fastdepCC_FALSE@ $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.obj `if test -f '$(srcdir)/../liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../liblzma/LzmaLib.c'; fi`
516
517 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
518 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
519 unique=`for i in $$list; do \
520 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
521 done | \
522 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
523 END { if (nonempty) { for (i in files) print i; }; }'`; \
524 mkid -fID $$unique
525 tags: TAGS
526
527 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
528 $(TAGS_FILES) $(LISP)
581 @am__fastdepCC_TRUE@ $(AM_V_CC)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT LzmaLib.obj -MD -MP -MF $(DEPDIR)/LzmaLib.Tpo -c -o LzmaLib.obj `if test -f '$(srcdir)/../liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../liblzma/LzmaLib.c'; fi`
582 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/LzmaLib.Tpo $(DEPDIR)/LzmaLib.Po
583 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$(srcdir)/../liblzma/LzmaLib.c' object='LzmaLib.obj' libtool=no @AMDEPBACKSLASH@
584 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
585 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o LzmaLib.obj `if test -f '$(srcdir)/../liblzma/LzmaLib.c'; then $(CYGPATH_W) '$(srcdir)/../liblzma/LzmaLib.c'; else $(CYGPATH_W) '$(srcdir)/$(srcdir)/../liblzma/LzmaLib.c'; fi`
586
587 ID: $(am__tagged_files)
588 $(am__define_uniq_tagged_files); mkid -fID $$unique
589 tags: tags-am
590 TAGS: tags
591
592 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
529593 set x; \
530594 here=`pwd`; \
531 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
532 unique=`for i in $$list; do \
533 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
534 done | \
535 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
536 END { if (nonempty) { for (i in files) print i; }; }'`; \
595 $(am__define_uniq_tagged_files); \
537596 shift; \
538597 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
539598 test -n "$$unique" || unique=$$empty_fix; \
545604 $$unique; \
546605 fi; \
547606 fi
548 ctags: CTAGS
549 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
550 $(TAGS_FILES) $(LISP)
551 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
552 unique=`for i in $$list; do \
553 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
554 done | \
555 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
556 END { if (nonempty) { for (i in files) print i; }; }'`; \
607 ctags: ctags-am
608
609 CTAGS: ctags
610 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
611 $(am__define_uniq_tagged_files); \
557612 test -z "$(CTAGS_ARGS)$$unique" \
558613 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
559614 $$unique
562617 here=`$(am__cd) $(top_builddir) && pwd` \
563618 && $(am__cd) $(top_srcdir) \
564619 && gtags -i $(GTAGS_ARGS) "$$here"
620 cscopelist: cscopelist-am
621
622 cscopelist-am: $(am__tagged_files)
623 list='$(am__tagged_files)'; \
624 case "$(srcdir)" in \
625 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
626 *) sdir=$(subdir)/$(srcdir) ;; \
627 esac; \
628 for i in $$list; do \
629 if test -f "$$i"; then \
630 echo "$(subdir)/$$i"; \
631 else \
632 echo "$$sdir/$$i"; \
633 fi; \
634 done >> $(top_builddir)/cscope.files
565635
566636 distclean-tags:
567637 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
613683
614684 installcheck: installcheck-am
615685 install-strip:
616 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
617 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
618 `test -z '$(STRIP)' || \
619 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
686 if test -z '$(STRIP)'; then \
687 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
688 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
689 install; \
690 else \
691 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
692 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
693 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
694 fi
620695 mostlyclean-generic:
621696
622697 clean-generic:
699774
700775 .MAKE: install-am install-strip
701776
702 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
703 clean-generic ctags distclean distclean-compile \
704 distclean-generic distclean-tags distdir dvi dvi-am html \
705 html-am info info-am install install-am install-binPROGRAMS \
706 install-data install-data-am install-dvi install-dvi-am \
707 install-exec install-exec-am install-html install-html-am \
708 install-info install-info-am install-man install-pdf \
709 install-pdf-am install-ps install-ps-am install-strip \
710 installcheck installcheck-am installdirs maintainer-clean \
711 maintainer-clean-generic mostlyclean mostlyclean-compile \
712 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
713 uninstall-am uninstall-binPROGRAMS
777 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean \
778 clean-binPROGRAMS clean-generic cscopelist-am ctags ctags-am \
779 distclean distclean-compile distclean-generic distclean-tags \
780 distdir dvi dvi-am html html-am info info-am install \
781 install-am install-binPROGRAMS install-data install-data-am \
782 install-dvi install-dvi-am install-exec install-exec-am \
783 install-html install-html-am install-info install-info-am \
784 install-man install-pdf install-pdf-am install-ps \
785 install-ps-am install-strip installcheck installcheck-am \
786 installdirs maintainer-clean maintainer-clean-generic \
787 mostlyclean mostlyclean-compile mostlyclean-generic pdf pdf-am \
788 ps ps-am tags tags-am uninstall uninstall-am \
789 uninstall-binPROGRAMS
714790
715791
716792 # Tell versions [3.59,3.63) of GNU make to not export all variables.
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = src/helpers/fst
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(top_srcdir)/depcomp
3653 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3754 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3855 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4461 LIBRARIES = $(noinst_LIBRARIES)
4562 AR = ar
4663 ARFLAGS = cru
64 AM_V_AR = $(am__v_AR_@AM_V@)
65 am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@)
66 am__v_AR_0 = @echo " AR " $@;
67 am__v_AR_1 =
4768 libfst_a_AR = $(AR) $(ARFLAGS)
4869 libfst_a_LIBADD =
4970 am_libfst_a_OBJECTS = fastlz.$(OBJEXT) fstapi.$(OBJEXT)
5071 libfst_a_OBJECTS = $(am_libfst_a_OBJECTS)
72 AM_V_P = $(am__v_P_@AM_V@)
73 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
74 am__v_P_0 = false
75 am__v_P_1 = :
76 AM_V_GEN = $(am__v_GEN_@AM_V@)
77 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
78 am__v_GEN_0 = @echo " GEN " $@;
79 am__v_GEN_1 =
80 AM_V_at = $(am__v_at_@AM_V@)
81 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
82 am__v_at_0 = @
83 am__v_at_1 =
5184 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5285 depcomp = $(SHELL) $(top_srcdir)/depcomp
5386 am__depfiles_maybe = depfiles
5487 am__mv = mv -f
5588 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5689 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
90 AM_V_CC = $(am__v_CC_@AM_V@)
91 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
92 am__v_CC_0 = @echo " CC " $@;
93 am__v_CC_1 =
5794 CCLD = $(CC)
5895 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
96 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
97 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
98 am__v_CCLD_0 = @echo " CCLD " $@;
99 am__v_CCLD_1 =
59100 SOURCES = $(libfst_a_SOURCES)
60101 DIST_SOURCES = $(libfst_a_SOURCES)
102 am__can_run_installinfo = \
103 case $$AM_UPDATE_INFO_DIR in \
104 n|no|NO) false;; \
105 *) (install-info --version) >/dev/null 2>&1;; \
106 esac
107 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
108 # Read a list of newline-separated strings from the standard input,
109 # and print each of them once, without duplicates. Input order is
110 # *not* preserved.
111 am__uniquify_input = $(AWK) '\
112 BEGIN { nonempty = 0; } \
113 { items[$$0] = 1; nonempty = 1; } \
114 END { if (nonempty) { for (i in items) print i; }; } \
115 '
116 # Make sure the list of sources is unique. This is necessary because,
117 # e.g., the same source file might be shared among _SOURCES variables
118 # for different programs/libraries.
119 am__define_uniq_tagged_files = \
120 list='$(am__tagged_files)'; \
121 unique=`for i in $$list; do \
122 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
123 done | $(am__uniquify_input)`
61124 ETAGS = etags
62125 CTAGS = ctags
63126 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
66129 AET2_LDADD = @AET2_LDADD@
67130 ALLOCA = @ALLOCA@
68131 AMTAR = @AMTAR@
132 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
69133 AUTOCONF = @AUTOCONF@
70134 AUTOHEADER = @AUTOHEADER@
71135 AUTOMAKE = @AUTOMAKE@
134198 PACKAGE_NAME = @PACKAGE_NAME@
135199 PACKAGE_STRING = @PACKAGE_STRING@
136200 PACKAGE_TARNAME = @PACKAGE_TARNAME@
201 PACKAGE_URL = @PACKAGE_URL@
137202 PACKAGE_VERSION = @PACKAGE_VERSION@
138203 PATH_SEPARATOR = @PATH_SEPARATOR@
139204 PKG_CONFIG = @PKG_CONFIG@
239304
240305 clean-noinstLIBRARIES:
241306 -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
242 libfst.a: $(libfst_a_OBJECTS) $(libfst_a_DEPENDENCIES)
243 -rm -f libfst.a
244 $(libfst_a_AR) libfst.a $(libfst_a_OBJECTS) $(libfst_a_LIBADD)
245 $(RANLIB) libfst.a
307 libfst.a: $(libfst_a_OBJECTS) $(libfst_a_DEPENDENCIES) $(EXTRA_libfst_a_DEPENDENCIES)
308 $(AM_V_at)-rm -f libfst.a
309 $(AM_V_AR)$(libfst_a_AR) libfst.a $(libfst_a_OBJECTS) $(libfst_a_LIBADD)
310 $(AM_V_at)$(RANLIB) libfst.a
246311
247312 mostlyclean-compile:
248313 -rm -f *.$(OBJEXT)
254319 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fstapi.Po@am__quote@
255320
256321 .c.o:
257 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
258 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
259 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
322 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
323 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
324 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
260325 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
261 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
326 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
262327
263328 .c.obj:
264 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
265 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
266 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
329 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
330 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
331 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
267332 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
268 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
269
270 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
271 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
272 unique=`for i in $$list; do \
273 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
274 done | \
275 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
276 END { if (nonempty) { for (i in files) print i; }; }'`; \
277 mkid -fID $$unique
278 tags: TAGS
279
280 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
281 $(TAGS_FILES) $(LISP)
333 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
334
335 ID: $(am__tagged_files)
336 $(am__define_uniq_tagged_files); mkid -fID $$unique
337 tags: tags-am
338 TAGS: tags
339
340 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
282341 set x; \
283342 here=`pwd`; \
284 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
285 unique=`for i in $$list; do \
286 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
287 done | \
288 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
289 END { if (nonempty) { for (i in files) print i; }; }'`; \
343 $(am__define_uniq_tagged_files); \
290344 shift; \
291345 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
292346 test -n "$$unique" || unique=$$empty_fix; \
298352 $$unique; \
299353 fi; \
300354 fi
301 ctags: CTAGS
302 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
303 $(TAGS_FILES) $(LISP)
304 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
305 unique=`for i in $$list; do \
306 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
307 done | \
308 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
309 END { if (nonempty) { for (i in files) print i; }; }'`; \
355 ctags: ctags-am
356
357 CTAGS: ctags
358 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
359 $(am__define_uniq_tagged_files); \
310360 test -z "$(CTAGS_ARGS)$$unique" \
311361 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
312362 $$unique
315365 here=`$(am__cd) $(top_builddir) && pwd` \
316366 && $(am__cd) $(top_srcdir) \
317367 && gtags -i $(GTAGS_ARGS) "$$here"
368 cscopelist: cscopelist-am
369
370 cscopelist-am: $(am__tagged_files)
371 list='$(am__tagged_files)'; \
372 case "$(srcdir)" in \
373 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
374 *) sdir=$(subdir)/$(srcdir) ;; \
375 esac; \
376 for i in $$list; do \
377 if test -f "$$i"; then \
378 echo "$(subdir)/$$i"; \
379 else \
380 echo "$$sdir/$$i"; \
381 fi; \
382 done >> $(top_builddir)/cscope.files
318383
319384 distclean-tags:
320385 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
363428
364429 installcheck: installcheck-am
365430 install-strip:
366 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
367 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
368 `test -z '$(STRIP)' || \
369 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
431 if test -z '$(STRIP)'; then \
432 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
433 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
434 install; \
435 else \
436 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
437 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
438 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
439 fi
370440 mostlyclean-generic:
371441
372442 clean-generic:
449519
450520 .MAKE: install-am install-strip
451521
452 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
453 clean-noinstLIBRARIES ctags distclean distclean-compile \
454 distclean-generic distclean-tags distdir dvi dvi-am html \
455 html-am info info-am install install-am install-data \
456 install-data-am install-dvi install-dvi-am install-exec \
457 install-exec-am install-html install-html-am install-info \
458 install-info-am install-man install-pdf install-pdf-am \
459 install-ps install-ps-am install-strip installcheck \
460 installcheck-am installdirs maintainer-clean \
522 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
523 clean-noinstLIBRARIES cscopelist-am ctags ctags-am distclean \
524 distclean-compile distclean-generic distclean-tags distdir dvi \
525 dvi-am html html-am info info-am install install-am \
526 install-data install-data-am install-dvi install-dvi-am \
527 install-exec install-exec-am install-html install-html-am \
528 install-info install-info-am install-man install-pdf \
529 install-pdf-am install-ps install-ps-am install-strip \
530 installcheck installcheck-am installdirs maintainer-clean \
461531 maintainer-clean-generic mostlyclean mostlyclean-compile \
462 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
532 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
463533 uninstall-am
464534
465535
33983398 {
33993399 break;
34003400 }
3401
3402 if((hdr_incomplete) && (!seclen))
3403 {
3404 break;
3405 }
34013406
34023407 if(!hdr_seen && (sectype != FST_BL_HDR))
34033408 {
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = src/libbz2
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(top_srcdir)/depcomp
3653 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3754 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3855 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4461 LIBRARIES = $(noinst_LIBRARIES)
4562 AR = ar
4663 ARFLAGS = cru
64 AM_V_AR = $(am__v_AR_@AM_V@)
65 am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@)
66 am__v_AR_0 = @echo " AR " $@;
67 am__v_AR_1 =
4768 libbz2_a_AR = $(AR) $(ARFLAGS)
4869 libbz2_a_LIBADD =
4970 am_libbz2_a_OBJECTS = blocksort.$(OBJEXT) compress.$(OBJEXT) \
5071 decompress.$(OBJEXT) randtable.$(OBJEXT) bzlib.$(OBJEXT) \
5172 crctable.$(OBJEXT) huffman.$(OBJEXT)
5273 libbz2_a_OBJECTS = $(am_libbz2_a_OBJECTS)
74 AM_V_P = $(am__v_P_@AM_V@)
75 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
76 am__v_P_0 = false
77 am__v_P_1 = :
78 AM_V_GEN = $(am__v_GEN_@AM_V@)
79 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
80 am__v_GEN_0 = @echo " GEN " $@;
81 am__v_GEN_1 =
82 AM_V_at = $(am__v_at_@AM_V@)
83 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
84 am__v_at_0 = @
85 am__v_at_1 =
5386 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5487 depcomp = $(SHELL) $(top_srcdir)/depcomp
5588 am__depfiles_maybe = depfiles
5689 am__mv = mv -f
5790 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5891 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
92 AM_V_CC = $(am__v_CC_@AM_V@)
93 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
94 am__v_CC_0 = @echo " CC " $@;
95 am__v_CC_1 =
5996 CCLD = $(CC)
6097 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
98 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
99 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
100 am__v_CCLD_0 = @echo " CCLD " $@;
101 am__v_CCLD_1 =
61102 SOURCES = $(libbz2_a_SOURCES)
62103 DIST_SOURCES = $(libbz2_a_SOURCES)
104 am__can_run_installinfo = \
105 case $$AM_UPDATE_INFO_DIR in \
106 n|no|NO) false;; \
107 *) (install-info --version) >/dev/null 2>&1;; \
108 esac
109 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
110 # Read a list of newline-separated strings from the standard input,
111 # and print each of them once, without duplicates. Input order is
112 # *not* preserved.
113 am__uniquify_input = $(AWK) '\
114 BEGIN { nonempty = 0; } \
115 { items[$$0] = 1; nonempty = 1; } \
116 END { if (nonempty) { for (i in items) print i; }; } \
117 '
118 # Make sure the list of sources is unique. This is necessary because,
119 # e.g., the same source file might be shared among _SOURCES variables
120 # for different programs/libraries.
121 am__define_uniq_tagged_files = \
122 list='$(am__tagged_files)'; \
123 unique=`for i in $$list; do \
124 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
125 done | $(am__uniquify_input)`
63126 ETAGS = etags
64127 CTAGS = ctags
65128 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
68131 AET2_LDADD = @AET2_LDADD@
69132 ALLOCA = @ALLOCA@
70133 AMTAR = @AMTAR@
134 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
71135 AUTOCONF = @AUTOCONF@
72136 AUTOHEADER = @AUTOHEADER@
73137 AUTOMAKE = @AUTOMAKE@
136200 PACKAGE_NAME = @PACKAGE_NAME@
137201 PACKAGE_STRING = @PACKAGE_STRING@
138202 PACKAGE_TARNAME = @PACKAGE_TARNAME@
203 PACKAGE_URL = @PACKAGE_URL@
139204 PACKAGE_VERSION = @PACKAGE_VERSION@
140205 PATH_SEPARATOR = @PATH_SEPARATOR@
141206 PKG_CONFIG = @PKG_CONFIG@
242307
243308 clean-noinstLIBRARIES:
244309 -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
245 libbz2.a: $(libbz2_a_OBJECTS) $(libbz2_a_DEPENDENCIES)
246 -rm -f libbz2.a
247 $(libbz2_a_AR) libbz2.a $(libbz2_a_OBJECTS) $(libbz2_a_LIBADD)
248 $(RANLIB) libbz2.a
310 libbz2.a: $(libbz2_a_OBJECTS) $(libbz2_a_DEPENDENCIES) $(EXTRA_libbz2_a_DEPENDENCIES)
311 $(AM_V_at)-rm -f libbz2.a
312 $(AM_V_AR)$(libbz2_a_AR) libbz2.a $(libbz2_a_OBJECTS) $(libbz2_a_LIBADD)
313 $(AM_V_at)$(RANLIB) libbz2.a
249314
250315 mostlyclean-compile:
251316 -rm -f *.$(OBJEXT)
262327 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/randtable.Po@am__quote@
263328
264329 .c.o:
265 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
266 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
267 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
330 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
331 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
332 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
268333 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
269 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
334 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
270335
271336 .c.obj:
272 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
273 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
274 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
337 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
338 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
339 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
275340 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
276 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
277
278 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
279 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
280 unique=`for i in $$list; do \
281 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
282 done | \
283 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
284 END { if (nonempty) { for (i in files) print i; }; }'`; \
285 mkid -fID $$unique
286 tags: TAGS
287
288 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
289 $(TAGS_FILES) $(LISP)
341 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
342
343 ID: $(am__tagged_files)
344 $(am__define_uniq_tagged_files); mkid -fID $$unique
345 tags: tags-am
346 TAGS: tags
347
348 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
290349 set x; \
291350 here=`pwd`; \
292 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
293 unique=`for i in $$list; do \
294 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
295 done | \
296 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
297 END { if (nonempty) { for (i in files) print i; }; }'`; \
351 $(am__define_uniq_tagged_files); \
298352 shift; \
299353 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
300354 test -n "$$unique" || unique=$$empty_fix; \
306360 $$unique; \
307361 fi; \
308362 fi
309 ctags: CTAGS
310 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
311 $(TAGS_FILES) $(LISP)
312 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
313 unique=`for i in $$list; do \
314 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
315 done | \
316 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
317 END { if (nonempty) { for (i in files) print i; }; }'`; \
363 ctags: ctags-am
364
365 CTAGS: ctags
366 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
367 $(am__define_uniq_tagged_files); \
318368 test -z "$(CTAGS_ARGS)$$unique" \
319369 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
320370 $$unique
323373 here=`$(am__cd) $(top_builddir) && pwd` \
324374 && $(am__cd) $(top_srcdir) \
325375 && gtags -i $(GTAGS_ARGS) "$$here"
376 cscopelist: cscopelist-am
377
378 cscopelist-am: $(am__tagged_files)
379 list='$(am__tagged_files)'; \
380 case "$(srcdir)" in \
381 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
382 *) sdir=$(subdir)/$(srcdir) ;; \
383 esac; \
384 for i in $$list; do \
385 if test -f "$$i"; then \
386 echo "$(subdir)/$$i"; \
387 else \
388 echo "$$sdir/$$i"; \
389 fi; \
390 done >> $(top_builddir)/cscope.files
326391
327392 distclean-tags:
328393 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
371436
372437 installcheck: installcheck-am
373438 install-strip:
374 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
375 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
376 `test -z '$(STRIP)' || \
377 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
439 if test -z '$(STRIP)'; then \
440 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
441 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
442 install; \
443 else \
444 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
445 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
446 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
447 fi
378448 mostlyclean-generic:
379449
380450 clean-generic:
457527
458528 .MAKE: install-am install-strip
459529
460 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
461 clean-noinstLIBRARIES ctags distclean distclean-compile \
462 distclean-generic distclean-tags distdir dvi dvi-am html \
463 html-am info info-am install install-am install-data \
464 install-data-am install-dvi install-dvi-am install-exec \
465 install-exec-am install-html install-html-am install-info \
466 install-info-am install-man install-pdf install-pdf-am \
467 install-ps install-ps-am install-strip installcheck \
468 installcheck-am installdirs maintainer-clean \
530 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
531 clean-noinstLIBRARIES cscopelist-am ctags ctags-am distclean \
532 distclean-compile distclean-generic distclean-tags distdir dvi \
533 dvi-am html html-am info info-am install install-am \
534 install-data install-data-am install-dvi install-dvi-am \
535 install-exec install-exec-am install-html install-html-am \
536 install-info install-info-am install-man install-pdf \
537 install-pdf-am install-ps install-ps-am install-strip \
538 installcheck installcheck-am installdirs maintainer-clean \
469539 maintainer-clean-generic mostlyclean mostlyclean-compile \
470 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
540 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
471541 uninstall-am
472542
473543
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = src/liblzma
35 DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(top_srcdir)/depcomp
3653 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3754 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3855 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4461 LIBRARIES = $(noinst_LIBRARIES)
4562 AR = ar
4663 ARFLAGS = cru
64 AM_V_AR = $(am__v_AR_@AM_V@)
65 am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@)
66 am__v_AR_0 = @echo " AR " $@;
67 am__v_AR_1 =
4768 libgwlzma_a_AR = $(AR) $(ARFLAGS)
4869 libgwlzma_a_LIBADD =
4970 am_libgwlzma_a_OBJECTS = LzmaLib.$(OBJEXT)
5071 libgwlzma_a_OBJECTS = $(am_libgwlzma_a_OBJECTS)
72 AM_V_P = $(am__v_P_@AM_V@)
73 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
74 am__v_P_0 = false
75 am__v_P_1 = :
76 AM_V_GEN = $(am__v_GEN_@AM_V@)
77 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
78 am__v_GEN_0 = @echo " GEN " $@;
79 am__v_GEN_1 =
80 AM_V_at = $(am__v_at_@AM_V@)
81 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
82 am__v_at_0 = @
83 am__v_at_1 =
5184 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5285 depcomp = $(SHELL) $(top_srcdir)/depcomp
5386 am__depfiles_maybe = depfiles
5487 am__mv = mv -f
5588 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
5689 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
90 AM_V_CC = $(am__v_CC_@AM_V@)
91 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
92 am__v_CC_0 = @echo " CC " $@;
93 am__v_CC_1 =
5794 CCLD = $(CC)
5895 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
96 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
97 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
98 am__v_CCLD_0 = @echo " CCLD " $@;
99 am__v_CCLD_1 =
59100 SOURCES = $(libgwlzma_a_SOURCES)
60101 DIST_SOURCES = $(libgwlzma_a_SOURCES)
102 am__can_run_installinfo = \
103 case $$AM_UPDATE_INFO_DIR in \
104 n|no|NO) false;; \
105 *) (install-info --version) >/dev/null 2>&1;; \
106 esac
107 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
108 # Read a list of newline-separated strings from the standard input,
109 # and print each of them once, without duplicates. Input order is
110 # *not* preserved.
111 am__uniquify_input = $(AWK) '\
112 BEGIN { nonempty = 0; } \
113 { items[$$0] = 1; nonempty = 1; } \
114 END { if (nonempty) { for (i in items) print i; }; } \
115 '
116 # Make sure the list of sources is unique. This is necessary because,
117 # e.g., the same source file might be shared among _SOURCES variables
118 # for different programs/libraries.
119 am__define_uniq_tagged_files = \
120 list='$(am__tagged_files)'; \
121 unique=`for i in $$list; do \
122 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
123 done | $(am__uniquify_input)`
61124 ETAGS = etags
62125 CTAGS = ctags
63126 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
66129 AET2_LDADD = @AET2_LDADD@
67130 ALLOCA = @ALLOCA@
68131 AMTAR = @AMTAR@
132 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
69133 AUTOCONF = @AUTOCONF@
70134 AUTOHEADER = @AUTOHEADER@
71135 AUTOMAKE = @AUTOMAKE@
134198 PACKAGE_NAME = @PACKAGE_NAME@
135199 PACKAGE_STRING = @PACKAGE_STRING@
136200 PACKAGE_TARNAME = @PACKAGE_TARNAME@
201 PACKAGE_URL = @PACKAGE_URL@
137202 PACKAGE_VERSION = @PACKAGE_VERSION@
138203 PATH_SEPARATOR = @PATH_SEPARATOR@
139204 PKG_CONFIG = @PKG_CONFIG@
239304
240305 clean-noinstLIBRARIES:
241306 -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
242 libgwlzma.a: $(libgwlzma_a_OBJECTS) $(libgwlzma_a_DEPENDENCIES)
243 -rm -f libgwlzma.a
244 $(libgwlzma_a_AR) libgwlzma.a $(libgwlzma_a_OBJECTS) $(libgwlzma_a_LIBADD)
245 $(RANLIB) libgwlzma.a
307 libgwlzma.a: $(libgwlzma_a_OBJECTS) $(libgwlzma_a_DEPENDENCIES) $(EXTRA_libgwlzma_a_DEPENDENCIES)
308 $(AM_V_at)-rm -f libgwlzma.a
309 $(AM_V_AR)$(libgwlzma_a_AR) libgwlzma.a $(libgwlzma_a_OBJECTS) $(libgwlzma_a_LIBADD)
310 $(AM_V_at)$(RANLIB) libgwlzma.a
246311
247312 mostlyclean-compile:
248313 -rm -f *.$(OBJEXT)
253318 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/LzmaLib.Po@am__quote@
254319
255320 .c.o:
256 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
257 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
258 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
321 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
322 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
323 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
259324 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
260 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
325 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
261326
262327 .c.obj:
263 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
264 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
265 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
328 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
329 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
330 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
266331 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
267 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
268
269 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
270 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
271 unique=`for i in $$list; do \
272 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
273 done | \
274 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
275 END { if (nonempty) { for (i in files) print i; }; }'`; \
276 mkid -fID $$unique
277 tags: TAGS
278
279 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
280 $(TAGS_FILES) $(LISP)
332 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
333
334 ID: $(am__tagged_files)
335 $(am__define_uniq_tagged_files); mkid -fID $$unique
336 tags: tags-am
337 TAGS: tags
338
339 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
281340 set x; \
282341 here=`pwd`; \
283 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
284 unique=`for i in $$list; do \
285 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
286 done | \
287 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
288 END { if (nonempty) { for (i in files) print i; }; }'`; \
342 $(am__define_uniq_tagged_files); \
289343 shift; \
290344 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
291345 test -n "$$unique" || unique=$$empty_fix; \
297351 $$unique; \
298352 fi; \
299353 fi
300 ctags: CTAGS
301 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
302 $(TAGS_FILES) $(LISP)
303 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
304 unique=`for i in $$list; do \
305 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
306 done | \
307 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
308 END { if (nonempty) { for (i in files) print i; }; }'`; \
354 ctags: ctags-am
355
356 CTAGS: ctags
357 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
358 $(am__define_uniq_tagged_files); \
309359 test -z "$(CTAGS_ARGS)$$unique" \
310360 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
311361 $$unique
314364 here=`$(am__cd) $(top_builddir) && pwd` \
315365 && $(am__cd) $(top_srcdir) \
316366 && gtags -i $(GTAGS_ARGS) "$$here"
367 cscopelist: cscopelist-am
368
369 cscopelist-am: $(am__tagged_files)
370 list='$(am__tagged_files)'; \
371 case "$(srcdir)" in \
372 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
373 *) sdir=$(subdir)/$(srcdir) ;; \
374 esac; \
375 for i in $$list; do \
376 if test -f "$$i"; then \
377 echo "$(subdir)/$$i"; \
378 else \
379 echo "$$sdir/$$i"; \
380 fi; \
381 done >> $(top_builddir)/cscope.files
317382
318383 distclean-tags:
319384 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
362427
363428 installcheck: installcheck-am
364429 install-strip:
365 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
366 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
367 `test -z '$(STRIP)' || \
368 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
430 if test -z '$(STRIP)'; then \
431 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
432 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
433 install; \
434 else \
435 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
436 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
437 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
438 fi
369439 mostlyclean-generic:
370440
371441 clean-generic:
448518
449519 .MAKE: install-am install-strip
450520
451 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
452 clean-noinstLIBRARIES ctags distclean distclean-compile \
453 distclean-generic distclean-tags distdir dvi dvi-am html \
454 html-am info info-am install install-am install-data \
455 install-data-am install-dvi install-dvi-am install-exec \
456 install-exec-am install-html install-html-am install-info \
457 install-info-am install-man install-pdf install-pdf-am \
458 install-ps install-ps-am install-strip installcheck \
459 installcheck-am installdirs maintainer-clean \
521 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
522 clean-noinstLIBRARIES cscopelist-am ctags ctags-am distclean \
523 distclean-compile distclean-generic distclean-tags distdir dvi \
524 dvi-am html html-am info info-am install install-am \
525 install-data install-data-am install-dvi install-dvi-am \
526 install-exec install-exec-am install-html install-html-am \
527 install-info install-info-am install-man install-pdf \
528 install-pdf-am install-ps install-ps-am install-strip \
529 installcheck installcheck-am installdirs maintainer-clean \
460530 maintainer-clean-generic mostlyclean mostlyclean-compile \
461 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
531 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
462532 uninstall-am
463533
464534
0 # Makefile.in generated by automake 1.11.1 from Makefile.am.
0 # Makefile.in generated by automake 1.13.1 from Makefile.am.
11 # @configure_input@
22
3 # Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
4 # 2003, 2004, 2005, 2006, 2007, 2008, 2009 Free Software Foundation,
5 # Inc.
3 # Copyright (C) 1994-2012 Free Software Foundation, Inc.
4
65 # This Makefile.in is free software; the Free Software Foundation
76 # gives unlimited permission to copy and/or distribute it,
87 # with or without modifications, as long as this notice is preserved.
1514 @SET_MAKE@
1615
1716 VPATH = @srcdir@
17 am__make_dryrun = \
18 { \
19 am__dry=no; \
20 case $$MAKEFLAGS in \
21 *\\[\ \ ]*) \
22 echo 'am--echo: ; @echo "AM" OK' | $(MAKE) -f - 2>/dev/null \
23 | grep '^AM OK$$' >/dev/null || am__dry=yes;; \
24 *) \
25 for am__flg in $$MAKEFLAGS; do \
26 case $$am__flg in \
27 *=*|--*) ;; \
28 *n*) am__dry=yes; break;; \
29 esac; \
30 done;; \
31 esac; \
32 test $$am__dry = yes; \
33 }
1834 pkgdatadir = $(datadir)/@PACKAGE@
1935 pkgincludedir = $(includedir)/@PACKAGE@
2036 pkglibdir = $(libdir)/@PACKAGE@
3248 PRE_UNINSTALL = :
3349 POST_UNINSTALL = :
3450 subdir = src/libz
35 DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
51 DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/Makefile.am \
52 $(top_srcdir)/depcomp README
3653 ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
3754 am__aclocal_m4_deps = $(top_srcdir)/configure.ac
3855 am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
4461 LIBRARIES = $(noinst_LIBRARIES)
4562 AR = ar
4663 ARFLAGS = cru
64 AM_V_AR = $(am__v_AR_@AM_V@)
65 am__v_AR_ = $(am__v_AR_@AM_DEFAULT_V@)
66 am__v_AR_0 = @echo " AR " $@;
67 am__v_AR_1 =
4768 libz_a_AR = $(AR) $(ARFLAGS)
4869 libz_a_LIBADD =
4970 am_libz_a_OBJECTS = adler32.$(OBJEXT) gzread.$(OBJEXT) trees.$(OBJEXT) \
5374 inffast.$(OBJEXT) inftrees.$(OBJEXT) deflate.$(OBJEXT) \
5475 gzlib.$(OBJEXT)
5576 libz_a_OBJECTS = $(am_libz_a_OBJECTS)
77 AM_V_P = $(am__v_P_@AM_V@)
78 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
79 am__v_P_0 = false
80 am__v_P_1 = :
81 AM_V_GEN = $(am__v_GEN_@AM_V@)
82 am__v_GEN_ = $(am__v_GEN_@AM_DEFAULT_V@)
83 am__v_GEN_0 = @echo " GEN " $@;
84 am__v_GEN_1 =
85 AM_V_at = $(am__v_at_@AM_V@)
86 am__v_at_ = $(am__v_at_@AM_DEFAULT_V@)
87 am__v_at_0 = @
88 am__v_at_1 =
5689 DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
5790 depcomp = $(SHELL) $(top_srcdir)/depcomp
5891 am__depfiles_maybe = depfiles
5992 am__mv = mv -f
6093 COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
6194 $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
95 AM_V_CC = $(am__v_CC_@AM_V@)
96 am__v_CC_ = $(am__v_CC_@AM_DEFAULT_V@)
97 am__v_CC_0 = @echo " CC " $@;
98 am__v_CC_1 =
6299 CCLD = $(CC)
63100 LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
101 AM_V_CCLD = $(am__v_CCLD_@AM_V@)
102 am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
103 am__v_CCLD_0 = @echo " CCLD " $@;
104 am__v_CCLD_1 =
64105 SOURCES = $(libz_a_SOURCES)
65106 DIST_SOURCES = $(libz_a_SOURCES)
107 am__can_run_installinfo = \
108 case $$AM_UPDATE_INFO_DIR in \
109 n|no|NO) false;; \
110 *) (install-info --version) >/dev/null 2>&1;; \
111 esac
112 am__tagged_files = $(HEADERS) $(SOURCES) $(TAGS_FILES) $(LISP)
113 # Read a list of newline-separated strings from the standard input,
114 # and print each of them once, without duplicates. Input order is
115 # *not* preserved.
116 am__uniquify_input = $(AWK) '\
117 BEGIN { nonempty = 0; } \
118 { items[$$0] = 1; nonempty = 1; } \
119 END { if (nonempty) { for (i in items) print i; }; } \
120 '
121 # Make sure the list of sources is unique. This is necessary because,
122 # e.g., the same source file might be shared among _SOURCES variables
123 # for different programs/libraries.
124 am__define_uniq_tagged_files = \
125 list='$(am__tagged_files)'; \
126 unique=`for i in $$list; do \
127 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
128 done | $(am__uniquify_input)`
66129 ETAGS = etags
67130 CTAGS = ctags
68131 DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
71134 AET2_LDADD = @AET2_LDADD@
72135 ALLOCA = @ALLOCA@
73136 AMTAR = @AMTAR@
137 AM_DEFAULT_VERBOSITY = @AM_DEFAULT_VERBOSITY@
74138 AUTOCONF = @AUTOCONF@
75139 AUTOHEADER = @AUTOHEADER@
76140 AUTOMAKE = @AUTOMAKE@
139203 PACKAGE_NAME = @PACKAGE_NAME@
140204 PACKAGE_STRING = @PACKAGE_STRING@
141205 PACKAGE_TARNAME = @PACKAGE_TARNAME@
206 PACKAGE_URL = @PACKAGE_URL@
142207 PACKAGE_VERSION = @PACKAGE_VERSION@
143208 PATH_SEPARATOR = @PATH_SEPARATOR@
144209 PKG_CONFIG = @PKG_CONFIG@
249314
250315 clean-noinstLIBRARIES:
251316 -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
252 libz.a: $(libz_a_OBJECTS) $(libz_a_DEPENDENCIES)
253 -rm -f libz.a
254 $(libz_a_AR) libz.a $(libz_a_OBJECTS) $(libz_a_LIBADD)
255 $(RANLIB) libz.a
317 libz.a: $(libz_a_OBJECTS) $(libz_a_DEPENDENCIES) $(EXTRA_libz_a_DEPENDENCIES)
318 $(AM_V_at)-rm -f libz.a
319 $(AM_V_AR)$(libz_a_AR) libz.a $(libz_a_OBJECTS) $(libz_a_LIBADD)
320 $(AM_V_at)$(RANLIB) libz.a
256321
257322 mostlyclean-compile:
258323 -rm -f *.$(OBJEXT)
278343 @AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/zutil.Po@am__quote@
279344
280345 .c.o:
281 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
282 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
283 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
346 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
347 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
348 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
284349 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
285 @am__fastdepCC_FALSE@ $(COMPILE) -c $<
350 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c $<
286351
287352 .c.obj:
288 @am__fastdepCC_TRUE@ $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
289 @am__fastdepCC_TRUE@ $(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
290 @AMDEP_TRUE@@am__fastdepCC_FALSE@ source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
353 @am__fastdepCC_TRUE@ $(AM_V_CC)$(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
354 @am__fastdepCC_TRUE@ $(AM_V_at)$(am__mv) $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
355 @AMDEP_TRUE@@am__fastdepCC_FALSE@ $(AM_V_CC)source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
291356 @AMDEP_TRUE@@am__fastdepCC_FALSE@ DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
292 @am__fastdepCC_FALSE@ $(COMPILE) -c `$(CYGPATH_W) '$<'`
293
294 ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
295 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
296 unique=`for i in $$list; do \
297 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
298 done | \
299 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
300 END { if (nonempty) { for (i in files) print i; }; }'`; \
301 mkid -fID $$unique
302 tags: TAGS
303
304 TAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
305 $(TAGS_FILES) $(LISP)
357 @am__fastdepCC_FALSE@ $(AM_V_CC@am__nodep@)$(COMPILE) -c `$(CYGPATH_W) '$<'`
358
359 ID: $(am__tagged_files)
360 $(am__define_uniq_tagged_files); mkid -fID $$unique
361 tags: tags-am
362 TAGS: tags
363
364 tags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
306365 set x; \
307366 here=`pwd`; \
308 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
309 unique=`for i in $$list; do \
310 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
311 done | \
312 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
313 END { if (nonempty) { for (i in files) print i; }; }'`; \
367 $(am__define_uniq_tagged_files); \
314368 shift; \
315369 if test -z "$(ETAGS_ARGS)$$*$$unique"; then :; else \
316370 test -n "$$unique" || unique=$$empty_fix; \
322376 $$unique; \
323377 fi; \
324378 fi
325 ctags: CTAGS
326 CTAGS: $(HEADERS) $(SOURCES) $(TAGS_DEPENDENCIES) \
327 $(TAGS_FILES) $(LISP)
328 list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
329 unique=`for i in $$list; do \
330 if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
331 done | \
332 $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
333 END { if (nonempty) { for (i in files) print i; }; }'`; \
379 ctags: ctags-am
380
381 CTAGS: ctags
382 ctags-am: $(TAGS_DEPENDENCIES) $(am__tagged_files)
383 $(am__define_uniq_tagged_files); \
334384 test -z "$(CTAGS_ARGS)$$unique" \
335385 || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
336386 $$unique
339389 here=`$(am__cd) $(top_builddir) && pwd` \
340390 && $(am__cd) $(top_srcdir) \
341391 && gtags -i $(GTAGS_ARGS) "$$here"
392 cscopelist: cscopelist-am
393
394 cscopelist-am: $(am__tagged_files)
395 list='$(am__tagged_files)'; \
396 case "$(srcdir)" in \
397 [\\/]* | ?:[\\/]*) sdir="$(srcdir)" ;; \
398 *) sdir=$(subdir)/$(srcdir) ;; \
399 esac; \
400 for i in $$list; do \
401 if test -f "$$i"; then \
402 echo "$(subdir)/$$i"; \
403 else \
404 echo "$$sdir/$$i"; \
405 fi; \
406 done >> $(top_builddir)/cscope.files
342407
343408 distclean-tags:
344409 -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
387452
388453 installcheck: installcheck-am
389454 install-strip:
390 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
391 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
392 `test -z '$(STRIP)' || \
393 echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
455 if test -z '$(STRIP)'; then \
456 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
457 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
458 install; \
459 else \
460 $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
461 install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
462 "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'" install; \
463 fi
394464 mostlyclean-generic:
395465
396466 clean-generic:
473543
474544 .MAKE: install-am install-strip
475545
476 .PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
477 clean-noinstLIBRARIES ctags distclean distclean-compile \
478 distclean-generic distclean-tags distdir dvi dvi-am html \
479 html-am info info-am install install-am install-data \
480 install-data-am install-dvi install-dvi-am install-exec \
481 install-exec-am install-html install-html-am install-info \
482 install-info-am install-man install-pdf install-pdf-am \
483 install-ps install-ps-am install-strip installcheck \
484 installcheck-am installdirs maintainer-clean \
546 .PHONY: CTAGS GTAGS TAGS all all-am check check-am clean clean-generic \
547 clean-noinstLIBRARIES cscopelist-am ctags ctags-am distclean \
548 distclean-compile distclean-generic distclean-tags distdir dvi \
549 dvi-am html html-am info info-am install install-am \
550 install-data install-data-am install-dvi install-dvi-am \
551 install-exec install-exec-am install-html install-html-am \
552 install-info install-info-am install-man install-pdf \
553 install-pdf-am install-ps install-ps-am install-strip \
554 installcheck installcheck-am installdirs maintainer-clean \
485555 maintainer-clean-generic mostlyclean mostlyclean-compile \
486 mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
556 mostlyclean-generic pdf pdf-am ps ps-am tags tags-am uninstall \
487557 uninstall-am
488558
489559
+115
-88
ylwrap less more
00 #! /bin/sh
11 # ylwrap - wrapper for lex/yacc invocations.
22
3 scriptversion=2009-04-28.21; # UTC
4
5 # Copyright (C) 1996, 1997, 1998, 1999, 2001, 2002, 2003, 2004, 2005,
6 # 2007, 2009 Free Software Foundation, Inc.
3 scriptversion=2012-12-21.17; # UTC
4
5 # Copyright (C) 1996-2013 Free Software Foundation, Inc.
76 #
87 # Written by Tom Tromey <tromey@cygnus.com>.
98 #
2928 # bugs to <bug-automake@gnu.org> or send patches to
3029 # <automake-patches@gnu.org>.
3130
31 get_dirname ()
32 {
33 case $1 in
34 */*|*\\*) printf '%s\n' "$1" | sed -e 's|\([\\/]\)[^\\/]*$|\1|';;
35 # Otherwise, we want the empty string (not ".").
36 esac
37 }
38
39 # guard FILE
40 # ----------
41 # The CPP macro used to guard inclusion of FILE.
42 guard()
43 {
44 printf '%s\n' "$1" \
45 | sed \
46 -e 'y/abcdefghijklmnopqrstuvwxyz/ABCDEFGHIJKLMNOPQRSTUVWXYZ/' \
47 -e 's/[^ABCDEFGHIJKLMNOPQRSTUVWXYZ]/_/g' \
48 -e 's/__*/_/g'
49 }
50
51 # quote_for_sed [STRING]
52 # ----------------------
53 # Return STRING (or stdin) quoted to be used as a sed pattern.
54 quote_for_sed ()
55 {
56 case $# in
57 0) cat;;
58 1) printf '%s\n' "$1";;
59 esac \
60 | sed -e 's|[][\\.*]|\\&|g'
61 }
62
3263 case "$1" in
3364 '')
34 echo "$0: No files given. Try \`$0 --help' for more information." 1>&2
65 echo "$0: No files given. Try '$0 --help' for more information." 1>&2
3566 exit 1
3667 ;;
3768 --basedir)
6697 # The input.
6798 input="$1"
6899 shift
100 # We'll later need for a correct munging of "#line" directives.
101 input_sub_rx=`get_dirname "$input" | quote_for_sed`
69102 case "$input" in
70103 [\\/]* | ?:[\\/]*)
71104 # Absolute path; do nothing.
75108 input="`pwd`/$input"
76109 ;;
77110 esac
78
79 pairlist=
111 input_rx=`get_dirname "$input" | quote_for_sed`
112
113 # Since DOS filename conventions don't allow two dots,
114 # the DOS version of Bison writes out y_tab.c instead of y.tab.c
115 # and y_tab.h instead of y.tab.h. Test to see if this is the case.
116 y_tab_nodot=false
117 if test -f y_tab.c || test -f y_tab.h; then
118 y_tab_nodot=true
119 fi
120
121 # The parser itself, the first file, is the destination of the .y.c
122 # rule in the Makefile.
123 parser=$1
124
125 # A sed program to s/FROM/TO/g for all the FROM/TO so that, for
126 # instance, we rename #include "y.tab.h" into #include "parse.h"
127 # during the conversion from y.tab.c to parse.c.
128 sed_fix_filenames=
129
130 # Also rename header guards, as Bison 2.7 for instance uses its header
131 # guard in its implementation file.
132 sed_fix_header_guards=
133
80134 while test "$#" -ne 0; do
81135 if test "$1" = "--"; then
82136 shift
83137 break
84138 fi
85 pairlist="$pairlist $1"
139 from=$1
140 # Handle y_tab.c and y_tab.h output by DOS
141 if $y_tab_nodot; then
142 case $from in
143 "y.tab.c") from=y_tab.c;;
144 "y.tab.h") from=y_tab.h;;
145 esac
146 fi
86147 shift
148 to=$1
149 shift
150 sed_fix_filenames="${sed_fix_filenames}s|"`quote_for_sed "$from"`"|$to|g;"
151 sed_fix_header_guards="${sed_fix_header_guards}s|"`guard "$from"`"|"`guard "$to"`"|g;"
87152 done
88153
89154 # The program to run.
98163 # FIXME: add hostname here for parallel makes that run commands on
99164 # other machines. But that might take us over the 14-char limit.
100165 dirname=ylwrap$$
101 trap "cd '`pwd`'; rm -rf $dirname > /dev/null 2>&1" 1 2 3 15
166 do_exit="cd '`pwd`' && rm -rf $dirname > /dev/null 2>&1;"' (exit $ret); exit $ret'
167 trap "ret=129; $do_exit" 1
168 trap "ret=130; $do_exit" 2
169 trap "ret=141; $do_exit" 13
170 trap "ret=143; $do_exit" 15
102171 mkdir $dirname || exit 1
103172
104173 cd $dirname
110179 ret=$?
111180
112181 if test $ret -eq 0; then
113 set X $pairlist
114 shift
115 first=yes
116 # Since DOS filename conventions don't allow two dots,
117 # the DOS version of Bison writes out y_tab.c instead of y.tab.c
118 # and y_tab.h instead of y.tab.h. Test to see if this is the case.
119 y_tab_nodot="no"
120 if test -f y_tab.c || test -f y_tab.h; then
121 y_tab_nodot="yes"
122 fi
123
124 # The directory holding the input.
125 input_dir=`echo "$input" | sed -e 's,\([\\/]\)[^\\/]*$,\1,'`
126 # Quote $INPUT_DIR so we can use it in a regexp.
127 # FIXME: really we should care about more than `.' and `\'.
128 input_rx=`echo "$input_dir" | sed 's,\\\\,\\\\\\\\,g;s,\\.,\\\\.,g'`
129
130 while test "$#" -ne 0; do
131 from="$1"
132 # Handle y_tab.c and y_tab.h output by DOS
133 if test $y_tab_nodot = "yes"; then
134 if test $from = "y.tab.c"; then
135 from="y_tab.c"
136 else
137 if test $from = "y.tab.h"; then
138 from="y_tab.h"
139 fi
140 fi
141 fi
182 for from in *
183 do
184 to=`printf '%s\n' "$from" | sed "$sed_fix_filenames"`
142185 if test -f "$from"; then
143186 # If $2 is an absolute path name, then just use that,
144 # otherwise prepend `../'.
145 case "$2" in
146 [\\/]* | ?:[\\/]*) target="$2";;
147 *) target="../$2";;
187 # otherwise prepend '../'.
188 case $to in
189 [\\/]* | ?:[\\/]*) target=$to;;
190 *) target="../$to";;
148191 esac
149192
150 # We do not want to overwrite a header file if it hasn't
151 # changed. This avoid useless recompilations. However the
152 # parser itself (the first file) should always be updated,
153 # because it is the destination of the .y.c rule in the
154 # Makefile. Divert the output of all other files to a temporary
155 # file so we can compare them to existing versions.
156 if test $first = no; then
157 realtarget="$target"
158 target="tmp-`echo $target | sed s/.*[\\/]//g`"
193 # Do not overwrite unchanged header files to avoid useless
194 # recompilations. Always update the parser itself: it is the
195 # destination of the .y.c rule in the Makefile. Divert the
196 # output of all other files to a temporary file so we can
197 # compare them to existing versions.
198 if test $from != $parser; then
199 realtarget="$target"
200 target=tmp-`printf '%s\n' "$target" | sed 's|.*[\\/]||g'`
159201 fi
160 # Edit out `#line' or `#' directives.
161 #
162 # We don't want the resulting debug information to point at
163 # an absolute srcdir; it is better for it to just mention the
164 # .y file with no path.
165 #
166 # We want to use the real output file name, not yy.lex.c for
167 # instance.
168 #
169 # We want the include guards to be adjusted too.
170 FROM=`echo "$from" | sed \
171 -e 'y/abcdefghijklmnopqrstuvwxyz/ABCDEFGHIJKLMNOPQRSTUVWXYZ/'\
172 -e 's/[^ABCDEFGHIJKLMNOPQRSTUVWXYZ]/_/g'`
173 TARGET=`echo "$2" | sed \
174 -e 'y/abcdefghijklmnopqrstuvwxyz/ABCDEFGHIJKLMNOPQRSTUVWXYZ/'\
175 -e 's/[^ABCDEFGHIJKLMNOPQRSTUVWXYZ]/_/g'`
176
177 sed -e "/^#/!b" -e "s,$input_rx,," -e "s,$from,$2," \
178 -e "s,$FROM,$TARGET," "$from" >"$target" || ret=$?
179
180 # Check whether header files must be updated.
181 if test $first = no; then
182 if test -f "$realtarget" && cmp -s "$realtarget" "$target"; then
183 echo "$2" is unchanged
184 rm -f "$target"
185 else
186 echo updating "$2"
202
203 # Munge "#line" or "#" directives. Don't let the resulting
204 # debug information point at an absolute srcdir. Use the real
205 # output file name, not yy.lex.c for instance. Adjust the
206 # include guards too.
207 sed -e "/^#/!b" \
208 -e "s|$input_rx|$input_sub_rx|" \
209 -e "$sed_fix_filenames" \
210 -e "$sed_fix_header_guards" \
211 "$from" >"$target" || ret=$?
212
213 # Check whether files must be updated.
214 if test "$from" != "$parser"; then
215 if test -f "$realtarget" && cmp -s "$realtarget" "$target"; then
216 echo "$to is unchanged"
217 rm -f "$target"
218 else
219 echo "updating $to"
187220 mv -f "$target" "$realtarget"
188221 fi
189222 fi
190223 else
191 # A missing file is only an error for the first file. This
192 # is a blatant hack to let us support using "yacc -d". If -d
193 # is not specified, we don't want an error when the header
194 # file is "missing".
195 if test $first = yes; then
224 # A missing file is only an error for the parser. This is a
225 # blatant hack to let us support using "yacc -d". If -d is not
226 # specified, don't fail when the header file is "missing".
227 if test "$from" = "$parser"; then
196228 ret=1
197229 fi
198230 fi
199 shift
200 shift
201 first=no
202231 done
203 else
204 ret=$?
205232 fi
206233
207234 # Remove the directory.