Codebase list gtkwave / 5d40bbe
Imported Debian patch 3.2.3-1 أحمد المحمودي (Ahmed El-Mahmoudy) 14 years ago
14 changed file(s) with 16920 addition(s) and 61 deletion(s). Raw diff Collapse all Expand all
66 use_standard_clicking on
77 use_toolbutton_interface on
88 use_pango_fonts on
9 use_scrollwheel_as_y off
10 scale_to_time_dimension *
11 zoom_dynamic off
12 zoom_dynamic_end off
913
1014 vlist_spill off
1115 vlist_prepack off
9498 accel "/Edit/Alias Highlighted Trace" <Alt>A
9599 accel "/Edit/Remove Highlighted Aliases" <Shift><Alt>A
96100 accel "/Edit/Cut" <Control>X
101 accel "/Edit/Copy" <Control>C
97102 accel "/Edit/Paste" <Control>V
98103 accel "/Edit/Expand" F3
99104 accel "/Edit/Combine Down" F4
183188 accel "/View/Left Justified Signals" <Shift>Home
184189 accel "/View/Right Justified Signals" <Shift>End
185190 accel "/View/Zoom Pow10 Snap" <Shift>Pause
191 accel "/View/Partial VCD Dynamic Zoom" (null)
186192 accel "/View/Full Precision" <Alt>Pause
187193 accel "/View/Remove Pattern Marks" (null)
188194 accel "/View/Use Color" (null)
189195 accel "/View/Use Black and White" (null)
190196 accel "/View/LXT Clock Compress to Z" (null)
197 accel "/View/Scale To Time Dimension/None" (null)
198 accel "/View/Scale To Time Dimension/sec" (null)
199 accel "/View/Scale To Time Dimension/ms" (null)
200 accel "/View/Scale To Time Dimension/us" (null)
201 accel "/View/Scale To Time Dimension/ns" (null)
202 accel "/View/Scale To Time Dimension/ps" (null)
203 accel "/View/Scale To Time Dimension/fs" (null)
191204 accel "/Help/WAVE Help" <Control>H
192205 accel "/Help/Wave Version" (null)
206
0 /*
1 * A n t l r S e t s / E r r o r F i l e H e a d e r
2 *
3 * Generated from: ./verilog.g
4 *
5 * Terence Parr, Russell Quong, Will Cohen, and Hank Dietz: 1989-2001
6 * Parr Research Corporation
7 * with Purdue University Electrical Engineering
8 * With AHPCRC, University of Minnesota
9 * ANTLR Version 1.33MR33
10 */
11
12 #define ANTLR_VERSION 13333
13 #include "pcctscfg.h"
14 #include "pccts_stdio.h"
15
16
17 /*
18 * $Id: verilog.g,v 1.6 2009/01/13 22:39:24 gtkwave Exp $
19 * $Log: verilog.g,v $
20 * Revision 1.6 2009/01/13 22:39:24 gtkwave
21 * compile fixes for mingw
22 *
23 * Revision 1.5 2008/11/12 19:49:42 gtkwave
24 * changed usage of usize
25 *
26 * Revision 1.4 2008/11/10 13:46:07 gtkwave
27 * update to task enable in vermin grammar to handle hierarchical identifiers
28 *
29 * Revision 1.3 2008/11/08 15:39:11 gtkwave
30 * updated -f argument file handling in vermin
31 *
32 * Revision 1.2 2007/11/25 01:42:36 gtkwave
33 * added named parameter support to module instantiations in the vermin
34 * parser. (this is a verilog 2001 feature.)
35 *
36 * Revision 1.1.1.1 2007/05/30 04:25:47 gtkwave
37 * Imported sources
38 *
39 * Revision 1.1 2007/04/21 21:08:51 gtkwave
40 * changed from vertex to vermin
41 *
42 * Revision 1.2 2007/04/20 02:08:11 gtkwave
43 * initial release
44 *
45 */
46
47 #include "attrib.h"
48 #include "vpp_common.h"
49
50 #if defined __MINGW32__ || defined _MSC_VER
51 #define realpath(N,R) _fullpath((R),(N),_MAX_PATH)
52 #endif
53
54 int zzcr_attr(Attrib *attr, int token, char *text);
55 void vpp_update_yyfilename(const char *str);
56 void vpp_update_yylineno(const char *str);
57
58
59 #define LL_K 2
60 #define zzSET_SIZE 28
61 #include "antlr.h"
62 #include "keyword_tokens.h"
63 #include "tokens.h"
64 #include "dlgdef.h"
65 #include "err.h"
66
67 ANTLRChar *zztokens[212]={
68 /* 00 */ "Invalid",
69 /* 01 */ "V_EOF",
70 /* 02 */ "V_DECIMAL_NUMBER",
71 /* 03 */ "V_FLOAT1",
72 /* 04 */ "V_FLOAT2",
73 /* 05 */ "V_DBASE",
74 /* 06 */ "V_BBASE",
75 /* 07 */ "V_OBASE",
76 /* 08 */ "V_HBASE",
77 /* 09 */ "V_STRING",
78 /* 10 */ "V_EQ",
79 /* 11 */ "V_DOT",
80 /* 12 */ "V_PLUS",
81 /* 13 */ "V_MINUS",
82 /* 14 */ "V_BANG",
83 /* 15 */ "V_TILDE",
84 /* 16 */ "V_AND",
85 /* 17 */ "V_NAND",
86 /* 18 */ "V_OR",
87 /* 19 */ "V_NOR",
88 /* 20 */ "V_XOR",
89 /* 21 */ "V_XNOR",
90 /* 22 */ "V_STAR",
91 /* 23 */ "V_SLASH",
92 /* 24 */ "V_MOD",
93 /* 25 */ "V_EQ2",
94 /* 26 */ "V_NEQ",
95 /* 27 */ "V_EQ3",
96 /* 28 */ "V_NEQ2",
97 /* 29 */ "V_AND2",
98 /* 30 */ "V_AND3",
99 /* 31 */ "V_OR2",
100 /* 32 */ "V_LT",
101 /* 33 */ "V_LEQ",
102 /* 34 */ "V_GT",
103 /* 35 */ "V_GEQ",
104 /* 36 */ "V_XNOR2",
105 /* 37 */ "V_SHL",
106 /* 38 */ "V_SHR",
107 /* 39 */ "V_QUEST",
108 /* 40 */ "V_COLON",
109 /* 41 */ "V_LP",
110 /* 42 */ "V_RP",
111 /* 43 */ "V_LBRACK",
112 /* 44 */ "V_RBRACK",
113 /* 45 */ "V_LBRACE",
114 /* 46 */ "V_RBRACE",
115 /* 47 */ "V_COMMA",
116 /* 48 */ "V_AT",
117 /* 49 */ "V_SEMI",
118 /* 50 */ "V_POUND",
119 /* 51 */ "V_RARROW",
120 /* 52 */ "V_SPD1",
121 /* 53 */ "V_SPD2",
122 /* 54 */ "V_IDENTIFIER",
123 /* 55 */ "V_IDENTIFIER2",
124 /* 56 */ "V_IDENDOT",
125 /* 57 */ "V_LEVEL_SYMBOL_EXTRA",
126 /* 58 */ "V_HYPHEN",
127 /* 59 */ "V_EDGE_SYMBOL",
128 /* 60 */ "V_FUNCTION_NAME",
129 /* 61 */ "V_OUTPUT_SYMBOL",
130 /* 62 */ "V_ALWAYS",
131 /* 63 */ "V_ANDLIT",
132 /* 64 */ "V_ASSIGN",
133 /* 65 */ "V_BEGIN",
134 /* 66 */ "V_BUF",
135 /* 67 */ "V_BUFIF0",
136 /* 68 */ "V_BUFIF1",
137 /* 69 */ "V_CASE",
138 /* 70 */ "V_CASEX",
139 /* 71 */ "V_CASEZ",
140 /* 72 */ "V_CMOS",
141 /* 73 */ "V_DEASSIGN",
142 /* 74 */ "V_DEFAULT",
143 /* 75 */ "V_DEFPARAM",
144 /* 76 */ "V_DISABLE",
145 /* 77 */ "V_EDGE",
146 /* 78 */ "V_ELSE",
147 /* 79 */ "V_END",
148 /* 80 */ "V_ENDCASE",
149 /* 81 */ "V_ENDFUNCTION",
150 /* 82 */ "V_ENDMODULE",
151 /* 83 */ "V_ENDPRIMITIVE",
152 /* 84 */ "V_ENDSPECIFY",
153 /* 85 */ "V_ENDTABLE",
154 /* 86 */ "V_ENDTASK",
155 /* 87 */ "V_EVENT",
156 /* 88 */ "V_FOR",
157 /* 89 */ "V_FORCE",
158 /* 90 */ "V_FOREVER",
159 /* 91 */ "V_FORK",
160 /* 92 */ "V_FUNCTION",
161 /* 93 */ "V_HIGHZ0",
162 /* 94 */ "V_HIGHZ1",
163 /* 95 */ "V_IF",
164 /* 96 */ "V_INITIAL",
165 /* 97 */ "V_INOUT",
166 /* 98 */ "V_INPUT",
167 /* 99 */ "V_INTEGER",
168 /* 100 */ "V_JOIN",
169 /* 101 */ "V_LARGE",
170 /* 102 */ "V_MACROMODULE",
171 /* 103 */ "V_MEDIUM",
172 /* 104 */ "V_MODULE",
173 /* 105 */ "V_NANDLIT",
174 /* 106 */ "V_NEGEDGE",
175 /* 107 */ "V_NMOS",
176 /* 108 */ "V_NORLIT",
177 /* 109 */ "V_NOTIF0",
178 /* 110 */ "V_NOTIF1",
179 /* 111 */ "V_NOTLIT",
180 /* 112 */ "V_ORLIT",
181 /* 113 */ "V_OUTPUT",
182 /* 114 */ "V_PARAMETER",
183 /* 115 */ "V_PMOS",
184 /* 116 */ "V_POSEDGE",
185 /* 117 */ "V_PRIMITIVE",
186 /* 118 */ "V_PULL0",
187 /* 119 */ "V_PULL1",
188 /* 120 */ "V_PULLDOWN",
189 /* 121 */ "V_PULLUP",
190 /* 122 */ "V_RCMOS",
191 /* 123 */ "V_REAL",
192 /* 124 */ "V_REG",
193 /* 125 */ "V_RELEASE",
194 /* 126 */ "V_REPEAT",
195 /* 127 */ "V_RNMOS",
196 /* 128 */ "V_RPMOS",
197 /* 129 */ "V_RTRAN",
198 /* 130 */ "V_RTRANIF0",
199 /* 131 */ "V_RTRANIF1",
200 /* 132 */ "V_SCALARED",
201 /* 133 */ "V_SMALL",
202 /* 134 */ "V_SPECIFY",
203 /* 135 */ "V_SPECPARAM",
204 /* 136 */ "V_STRONG0",
205 /* 137 */ "V_STRONG1",
206 /* 138 */ "V_SUPPLY0",
207 /* 139 */ "V_SUPPLY1",
208 /* 140 */ "V_TABLE",
209 /* 141 */ "V_TASK",
210 /* 142 */ "V_TIME",
211 /* 143 */ "V_TRAN",
212 /* 144 */ "V_TRANIF0",
213 /* 145 */ "V_TRANIF1",
214 /* 146 */ "V_TRI",
215 /* 147 */ "V_TRI0",
216 /* 148 */ "V_TRI1",
217 /* 149 */ "V_TRIAND",
218 /* 150 */ "V_TRIOR",
219 /* 151 */ "V_TRIREG",
220 /* 152 */ "V_VECTORED",
221 /* 153 */ "V_WAIT",
222 /* 154 */ "V_WAND",
223 /* 155 */ "V_WEAK0",
224 /* 156 */ "V_WEAK1",
225 /* 157 */ "V_WHILE",
226 /* 158 */ "V_WIRE",
227 /* 159 */ "V_WOR",
228 /* 160 */ "V_XNORLIT",
229 /* 161 */ "V_XORLIT",
230 /* 162 */ "expression",
231 /* 163 */ "[\\ \\t\\b\\r]+",
232 /* 164 */ "\\n",
233 /* 165 */ "//~[\\n]*",
234 /* 166 */ "/\\*",
235 /* 167 */ "\\*/",
236 /* 168 */ "/\\*",
237 /* 169 */ "\\*/",
238 /* 170 */ "\\n",
239 /* 171 */ "~[/\\*\\n]+",
240 /* 172 */ "[/\\*]",
241 /* 173 */ "\\n",
242 /* 174 */ "[\\ \\t\\b\\r]+",
243 /* 175 */ "`~[\\n]*",
244 /* 176 */ "//~[\\n]*",
245 /* 177 */ "/\\*",
246 /* 178 */ "\\*/",
247 /* 179 */ "/\\*",
248 /* 180 */ "\\*/",
249 /* 181 */ "\\n",
250 /* 182 */ "~[/\\*\\n]+",
251 /* 183 */ "[/\\*]",
252 /* 184 */ "`uselib~[\\n]*",
253 /* 185 */ "`default_nettype[\\ \\t\\b]+[a-z]+",
254 /* 186 */ "`define~[\\n]*",
255 /* 187 */ "`undef[\\ \\t\\b]+[a-zA-Z_][a-zA-Z0-9_$]*",
256 /* 188 */ "`unconnected_drive[\\ \\t\\b]+pull[01]",
257 /* 189 */ "`nounconnected_drive",
258 /* 190 */ "`resetall",
259 /* 191 */ "`timescale[\\ \\t\\b]+1{0}{0}[\\ \\t\\b]*{[munpf]}s[\\ \\t\\b]*/[\\ \\t\\b]*1{0}{0}[\\ \\t\\b]*{[munpf]}s",
260 /* 192 */ "`ifdef[\\ \\t\\b]+[a-zA-Z_][a-zA-Z0-9_$]*",
261 /* 193 */ "`ifndef[\\ \\t\\b]+[a-zA-Z_][a-zA-Z0-9_$]*",
262 /* 194 */ "`else",
263 /* 195 */ "`endif",
264 /* 196 */ "`include[\\ \\t\\b]+\"~[\"\\n]*\"",
265 /* 197 */ "`[a-zA-Z_][a-zA-Z0-9_$]*",
266 /* 198 */ "`uselib~[\\n]*",
267 /* 199 */ "`default_nettype[\\ \\t\\b]+[a-z]+",
268 /* 200 */ "`define~[\\n]*",
269 /* 201 */ "`undef[\\ \\t\\b]+[a-zA-Z_][a-zA-Z0-9_$]*",
270 /* 202 */ "`unconnected_drive[\\ \\t\\b]+pull[01]",
271 /* 203 */ "`nounconnected_drive",
272 /* 204 */ "`resetall",
273 /* 205 */ "`timescale[\\ \\t\\b]+1{0}{0}[\\ \\t\\b]*{[munpf]}s[\\ \\t\\b]*/[\\ \\t\\b]*1{0}{0}[\\ \\t\\b]*{[munpf]}s",
274 /* 206 */ "`ifdef[\\ \\t\\b]+[a-zA-Z_][a-zA-Z0-9_$]*",
275 /* 207 */ "`ifndef[\\ \\t\\b]+[a-zA-Z_][a-zA-Z0-9_$]*",
276 /* 208 */ "`else",
277 /* 209 */ "`endif",
278 /* 210 */ "`include[\\ \\t\\b]+\"~[\"\\n]*\"",
279 /* 211 */ "`[a-zA-Z_][a-zA-Z0-9_$]*"
280 };
281 SetWordType zzerr1[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
282 0x40,0x1,0x20,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
283 0x0,0x0,0x0,0x0};
284 SetWordType zzerr2[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
285 0x40,0x1,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
286 0x0,0x0,0x0,0x0};
287 SetWordType zzerr3[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x2,0x0, 0x0,0x0,0x0,0x0,
288 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
289 0x0,0x0,0x0,0x0};
290 SetWordType setwd1[212] = {0x0,0x1a,0x0,0x0,0x0,0x0,0x0,
291 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
292 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
293 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
294 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
295 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
296 0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x20,
297 0x20,0x0,0x0,0x0,0x0,0x20,0x0,0x20,
298 0x20,0x20,0x0,0x20,0x20,0x20,0x0,0x0,
299 0x0,0x20,0x0,0x0,0x20,0x0,0x0,0x0,
300 0x0,0x0,0x0,0x40,0x0,0x0,0x0,0x0,
301 0x20,0x0,0x0,0x0,0x0,0x20,0x0,0x0,
302 0x0,0x20,0x20,0x20,0x20,0x0,0x0,0x1d,
303 0x0,0x1d,0x20,0x0,0x20,0x20,0x20,0x20,
304 0x20,0x20,0x20,0x20,0x20,0x0,0x39,0x0,
305 0x0,0x20,0x20,0x20,0x20,0x20,0x0,0x0,
306 0x20,0x20,0x20,0x20,0x20,0x0,0x0,0x20,
307 0x0,0x0,0x0,0x20,0x20,0x0,0x20,0x20,
308 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x20,
309 0x20,0x0,0x0,0x20,0x0,0x0,0x0,0x20,
310 0x20,0x20,0x20,0x0,0x0,0x0,0x0,0x0,
311 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
312 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
313 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
314 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
315 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
316 0x0,0x0,0x0,0x0,0x0};
317 SetWordType zzerr4[28] = {0x0,0x8,0x0,0x0, 0x0,0xa4,0xc0,0x10, 0x0,0x0,0x0,0x0,
318 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
319 0x0,0x0,0x0,0x0};
320 SetWordType zzerr5[28] = {0x0,0x0,0x0,0x0, 0x0,0xa4,0xc0,0x10, 0x0,0x0,0x0,0x0,
321 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
322 0x0,0x0,0x0,0x0};
323 SetWordType zzerr6[28] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
324 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
325 0x0,0x0,0x0,0x0};
326 SetWordType zzerr7[28] = {0x0,0x0,0x0,0x0, 0x0,0xcc,0x0,0x0, 0x0,0x0,0x0,0x0,
327 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
328 0x0,0x0,0x0,0x0};
329 SetWordType setwd2[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
330 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
331 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
332 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
333 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
334 0x0,0x0,0x0,0x7b,0x0,0x0,0x1,0x60,
335 0x7b,0x0,0x0,0x0,0x0,0x0,0x0,0x5,
336 0x5,0x0,0x0,0x0,0x0,0x5,0x0,0x0,
337 0x80,0x0,0x0,0x80,0x80,0x80,0x0,0x0,
338 0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,
339 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
340 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
341 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
342 0x0,0x0,0x80,0x0,0x80,0x80,0x80,0x80,
343 0x80,0x80,0x0,0x0,0x80,0x0,0x0,0x0,
344 0x0,0x80,0x80,0x80,0x0,0x0,0x0,0x0,
345 0x80,0x80,0x80,0x80,0x80,0x0,0x0,0x0,
346 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
347 0x80,0x80,0x80,0x0,0x0,0x0,0x0,0x0,
348 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
349 0x0,0x80,0x80,0x0,0x0,0x0,0x0,0x0,
350 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
351 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
352 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
353 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
354 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
355 0x0,0x0,0x0,0x0,0x0};
356 SetWordType zzerr8[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0xd0, 0x1d,0x9,0x80,0x10,
357 0xf,0xfa,0x2f,0x9f, 0x4f,0xec,0xff,0xc4, 0x3,0x0,0x0,0x0,
358 0x0,0x0,0x0,0x0};
359 SetWordType zzerr9[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
360 0x4,0x0,0x2,0x10, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
361 0x0,0x0,0x0,0x0};
362 SetWordType zzerr10[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
363 0x1,0x0,0x0,0x0, 0x0,0x10,0x0,0x0, 0x0,0x0,0x0,0x0,
364 0x0,0x0,0x0,0x0};
365 SetWordType zzerr11[28] = {0x44,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
366 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
367 0x0,0x0,0x0,0x0};
368 SetWordType setwd3[212] = {0x0,0x10,0x0,0x0,0x0,0x0,0x0,
369 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
370 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
371 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
372 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
373 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
374 0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x15,
375 0x15,0x0,0x0,0x0,0x0,0x15,0x0,0x14,
376 0x14,0x16,0x0,0x14,0x14,0x14,0x0,0x0,
377 0x0,0x14,0x0,0x0,0x14,0x0,0x0,0x0,
378 0x0,0x0,0x0,0x14,0x0,0x0,0x0,0x0,
379 0x14,0x0,0x0,0x0,0x0,0x14,0x0,0x0,
380 0x0,0x34,0x14,0x3c,0x14,0x0,0x0,0x10,
381 0x0,0x10,0x14,0x0,0x14,0x14,0x14,0x14,
382 0x14,0x14,0x3c,0x14,0x14,0x0,0x14,0x0,
383 0x0,0x14,0x14,0x14,0x14,0x3c,0x0,0x0,
384 0x14,0x14,0x14,0x14,0x14,0x0,0x0,0x14,
385 0x0,0x0,0x0,0x16,0x16,0x60,0x14,0x14,
386 0x14,0x14,0x14,0x16,0x16,0x16,0x16,0x16,
387 0x16,0x0,0x0,0x16,0x0,0x0,0x0,0x16,
388 0x16,0x14,0x14,0x0,0x0,0x0,0x0,0x0,
389 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
390 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
391 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
392 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
393 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
394 0x0,0x0,0x0,0x0,0x0};
395 SetWordType zzerr12[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
396 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
397 0x0,0x0,0x0,0x0};
398 SetWordType zzerr13[28] = {0x0,0x0,0x0,0x0, 0x0,0x1,0x2,0x0, 0x0,0x0,0x0,0x0,
399 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
400 0x0,0x0,0x0,0x0};
401 SetWordType zzerr14[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
402 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
403 0x0,0x0,0x0,0x0};
404 SetWordType zzerr15[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x0,0x8, 0x0,0x0,0x0,0x0,
405 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
406 0x0,0x0,0x0,0x0};
407 SetWordType setwd4[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
408 0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,
409 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
410 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
411 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
412 0x0,0xe4,0xe4,0x40,0x0,0x0,0x0,0x0,
413 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
414 0x0,0x0,0xf4,0x0,0xe4,0x0,0xf4,0x0,
415 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
416 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
417 0x0,0x0,0x0,0x0,0x2,0x0,0x28,0x0,
418 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
419 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
420 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
421 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
422 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
423 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
424 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
425 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
426 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
427 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
428 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
429 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
430 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
431 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
432 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
433 0x0,0x0,0x0,0x0,0x0};
434 SetWordType zzerr16[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x22, 0x0,0x0,0x0,0x0,
435 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
436 0x0,0x0,0x0,0x0};
437 SetWordType zzerr17[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x0,0x2a, 0x0,0x0,0x0,0x0,
438 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
439 0x0,0x0,0x0,0x0};
440 SetWordType zzerr18[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x24, 0x0,0x0,0x0,0x0,
441 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
442 0x0,0x0,0x0,0x0};
443 SetWordType setwd5[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
444 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
445 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
446 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
447 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
448 0x0,0xd0,0x38,0x2,0x0,0x0,0x0,0x0,
449 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
450 0x0,0x0,0x35,0x0,0x38,0x0,0x35,0x0,
451 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
452 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
453 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
454 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
455 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
456 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
457 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
458 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
459 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
460 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
461 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
462 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
463 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
464 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
465 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
466 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
467 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
468 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
469 0x0,0x0,0x0,0x0,0x0};
470 SetWordType zzerr19[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x10, 0x0,0x0,0x0,0x0,
471 0x8,0x0,0x0,0x8, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
472 0x0,0x0,0x0,0x0};
473 SetWordType zzerr20[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0x0,0x0, 0x0,0x0,0x0,0x0,
474 0x8,0x0,0x0,0x8, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
475 0x0,0x0,0x0,0x0};
476 SetWordType zzerr21[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
477 0xe,0x0,0x6,0x18, 0x0,0x40,0x0,0x0, 0x0,0x0,0x0,0x0,
478 0x0,0x0,0x0,0x0};
479 SetWordType setwd6[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
480 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
481 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
482 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
483 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
484 0x0,0x0,0x0,0x0,0x8,0x0,0x0,0x0,
485 0x0,0x0,0x1,0x0,0x0,0x0,0x0,0xd4,
486 0xd4,0x0,0x0,0x0,0x0,0xd4,0x0,0x44,
487 0x44,0x44,0x0,0x44,0x44,0x44,0x0,0x0,
488 0x0,0x44,0x0,0x0,0x44,0x0,0x0,0x0,
489 0x0,0x0,0x0,0x44,0x0,0x0,0x0,0x0,
490 0x44,0x0,0x0,0x0,0x0,0x44,0x0,0x0,
491 0x0,0x44,0x66,0x66,0x6e,0x0,0x0,0x0,
492 0x0,0x0,0x44,0x0,0x44,0x44,0x44,0x44,
493 0x44,0x44,0x66,0x66,0x44,0x0,0x44,0x0,
494 0x0,0x44,0x44,0x44,0x6e,0x66,0x0,0x0,
495 0x44,0x44,0x44,0x44,0x44,0x0,0x0,0x44,
496 0x0,0x0,0x0,0x44,0x44,0x0,0x44,0x66,
497 0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,
498 0x44,0x0,0x0,0x44,0x0,0x0,0x0,0x44,
499 0x44,0x44,0x44,0x0,0x0,0x0,0x0,0x0,
500 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
501 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
502 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
503 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
504 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
505 0x0,0x0,0x0,0x0,0x0};
506 SetWordType zzerr22[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
507 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
508 0x0,0x0,0x0,0x0};
509 SetWordType zzerr23[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
510 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
511 0x0,0x0,0x0,0x0};
512 SetWordType zzerr24[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
513 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
514 0x0,0x0,0x0,0x0};
515 SetWordType setwd7[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
516 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
517 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
518 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
519 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
520 0x0,0x0,0x0,0x0,0x0,0x0,0x45,0x0,
521 0x8,0x45,0x5d,0x45,0x45,0x0,0x0,0xe7,
522 0xe7,0xe7,0x0,0x0,0x0,0xe7,0x0,0x44,
523 0x44,0x45,0x45,0x44,0x44,0x44,0x45,0x45,
524 0x45,0x44,0x45,0x0,0x44,0x45,0x0,0x0,
525 0x4,0x0,0x0,0x44,0x0,0x0,0x0,0x0,
526 0x44,0x45,0x45,0x45,0x45,0x44,0x0,0x0,
527 0x45,0x44,0x45,0x45,0x45,0x4,0x0,0x0,
528 0x0,0x0,0x44,0x0,0x44,0x44,0x44,0x44,
529 0x44,0x44,0x45,0x45,0x44,0x0,0x44,0x0,
530 0x0,0x44,0x44,0x44,0x45,0x45,0x45,0x45,
531 0x44,0x44,0x44,0x44,0x44,0x0,0x0,0x44,
532 0x0,0x0,0x0,0x44,0x44,0x40,0x44,0x45,
533 0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,
534 0x44,0x0,0x45,0x44,0x0,0x0,0x45,0x44,
535 0x44,0x44,0x44,0x0,0x0,0x0,0x0,0x0,
536 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
537 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
538 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
539 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
540 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
541 0x0,0x0,0x0,0x0,0x0};
542 SetWordType zzerr25[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
543 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
544 0x0,0x0,0x0,0x0};
545 SetWordType zzerr26[28] = {0x0,0x0,0x0,0x0, 0x0,0x2a,0xc4,0x11, 0x0,0x0,0x0,0x0,
546 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
547 0x0,0x0,0x0,0x0};
548 SetWordType zzerr27[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
549 0x0,0x0,0x0,0x0, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x0,0x0,
550 0x0,0x0,0x0,0x0};
551 SetWordType zzerr28[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0x0,0x0, 0x0,0x0,0x0,0x0,
552 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
553 0x0,0x0,0x0,0x0};
554 SetWordType setwd8[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
555 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
556 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
557 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
558 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
559 0x0,0x0,0x20,0x0,0x38,0x0,0xfd,0x0,
560 0x0,0x85,0x85,0xfd,0x85,0x0,0x0,0xff,
561 0xff,0xff,0x0,0x0,0x0,0xff,0x0,0x85,
562 0x85,0x85,0x85,0x85,0x85,0x85,0x85,0x85,
563 0x85,0x85,0x85,0x0,0x85,0x85,0x0,0x0,
564 0x80,0x0,0x0,0x85,0x0,0x0,0x0,0x0,
565 0x85,0x85,0x85,0x85,0x85,0x85,0x0,0x0,
566 0x85,0x85,0x85,0x85,0x85,0x80,0x0,0x0,
567 0x0,0x0,0x85,0x0,0x85,0x85,0x85,0x85,
568 0x85,0x85,0x85,0x85,0x85,0x0,0x85,0x0,
569 0x0,0x85,0x85,0x85,0x85,0x85,0x85,0x85,
570 0x85,0x85,0x85,0x85,0x85,0x38,0x0,0x85,
571 0x0,0x0,0x0,0x85,0x85,0x81,0x85,0x85,
572 0x85,0x85,0x85,0x85,0x85,0x85,0x85,0x85,
573 0x85,0x38,0x85,0x85,0x0,0x0,0x85,0x85,
574 0x85,0x85,0x85,0x0,0x0,0x0,0x0,0x0,
575 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
576 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
577 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
578 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
579 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
580 0x0,0x0,0x0,0x0,0x0};
581 SetWordType zzerr29[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
582 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
583 0x0,0x0,0x0,0x0};
584 SetWordType zzerr30[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
585 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
586 0x0,0x0,0x0,0x0};
587 SetWordType zzerr31[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
588 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
589 0x0,0x0,0x0,0x0};
590 SetWordType zzerr32[28] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
591 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
592 0x0,0x0,0x0,0x0};
593 SetWordType setwd9[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
594 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
595 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
596 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
597 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
598 0x0,0x0,0x0,0x0,0x0,0x0,0xa8,0x0,
599 0x0,0xa8,0xa8,0xa8,0xa8,0x0,0x0,0xff,
600 0xff,0xff,0x0,0x0,0x0,0xff,0x0,0xa8,
601 0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,
602 0xa8,0xa8,0xa8,0x0,0xa8,0xa8,0x0,0x0,
603 0xa8,0x0,0x0,0xa8,0x0,0x0,0x0,0x0,
604 0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0x0,0x0,
605 0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0x0,0x0,
606 0x0,0x0,0xa8,0x0,0xa8,0xa8,0xa8,0xa8,
607 0xa8,0xa8,0xa8,0xa8,0xa8,0x0,0xa8,0x0,
608 0x0,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,
609 0xa8,0xa8,0xa8,0xa8,0xa8,0x0,0x0,0xa8,
610 0x0,0x0,0x0,0xa8,0xa8,0x0,0xa8,0xa8,
611 0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,
612 0xa8,0x0,0xa8,0xa8,0x0,0x0,0xa8,0xa8,
613 0xa8,0xa8,0xa8,0x0,0x0,0x0,0x0,0x0,
614 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
615 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
616 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
617 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
618 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
619 0x0,0x0,0x0,0x0,0x0};
620 SetWordType zzerr33[28] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc0,0x11, 0x0,0x0,0x0,0x0,
621 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
622 0x0,0x0,0x0,0x0};
623 SetWordType zzerr34[28] = {0x0,0x4,0x0,0x0, 0x0,0xc8,0x2,0x0, 0x0,0x0,0x0,0x0,
624 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
625 0x0,0x0,0x0,0x0};
626 SetWordType zzerr35[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x1,0x0,0x0,0x0,
627 0x0,0x0,0x0,0x0, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x0,0x0,
628 0x0,0x0,0x0,0x0};
629 SetWordType zzerr36[28] = {0x0,0x0,0x0,0x0, 0x0,0x22,0xc4,0x11, 0x0,0x0,0x0,0x0,
630 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
631 0x0,0x0,0x0,0x0};
632 SetWordType setwd10[212] = {0x0,0x0,0x8,0x8,0x8,0x8,0x8,
633 0x8,0x8,0x8,0x8,0x0,0x8,0x8,0x8,
634 0x8,0x8,0x8,0x8,0x8,0x8,0x8,0x0,
635 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
636 0x0,0x0,0x0,0x0,0x0,0x8,0x0,0x0,
637 0x0,0x0,0x8,0x0,0x8,0x0,0x8d,0x8,
638 0x28,0x1,0x20,0x81,0x1,0x0,0x0,0xdd,
639 0xdd,0x9d,0x0,0x0,0x0,0xdd,0x0,0x41,
640 0x41,0x41,0x1,0x41,0x41,0x41,0x1,0x1,
641 0x1,0x41,0x1,0x0,0x41,0x1,0x0,0x0,
642 0x1,0x0,0x0,0x41,0x0,0x0,0x0,0x0,
643 0x41,0x1,0x1,0x1,0x1,0x41,0x0,0x0,
644 0x1,0x41,0x41,0x41,0x41,0x1,0x0,0x0,
645 0x0,0x0,0x41,0x0,0x41,0x41,0x41,0x41,
646 0x41,0x41,0x41,0x41,0x41,0x0,0x41,0x0,
647 0x0,0x41,0x41,0x41,0x41,0x41,0x1,0x1,
648 0x41,0x41,0x41,0x41,0x41,0x0,0x0,0x41,
649 0x0,0x0,0x0,0x43,0x43,0x0,0x41,0x41,
650 0x41,0x41,0x41,0x43,0x43,0x43,0x43,0x43,
651 0x43,0x0,0x1,0x43,0x0,0x0,0x1,0x43,
652 0x43,0x41,0x41,0x0,0x0,0x0,0x0,0x0,
653 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
654 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
655 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
656 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
657 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
658 0x0,0x0,0x0,0x0,0x0};
659 SetWordType zzerr37[28] = {0x0,0x0,0x0,0x0, 0x0,0x28,0xc4,0x11, 0x0,0x0,0x0,0x0,
660 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
661 0x0,0x0,0x0,0x0};
662 SetWordType zzerr38[28] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc4,0x11, 0x0,0x0,0x0,0x0,
663 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
664 0x0,0x0,0x0,0x0};
665 SetWordType setwd11[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
666 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
667 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
668 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
669 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
670 0x0,0x0,0x0,0x0,0x2,0x0,0x3d,0x0,
671 0x0,0x0,0x80,0xd,0x0,0x0,0x0,0x7d,
672 0x7d,0x3d,0x0,0x0,0x0,0x7d,0x0,0x40,
673 0x40,0x40,0x0,0x40,0x40,0x40,0x0,0x0,
674 0x0,0x40,0x0,0x0,0x40,0x0,0x0,0x0,
675 0x0,0x0,0x0,0x40,0x0,0x0,0x0,0x0,
676 0x40,0x0,0x0,0x0,0x0,0x40,0x0,0x0,
677 0x0,0x40,0x40,0x40,0x40,0x0,0x0,0x0,
678 0x0,0x0,0x40,0x0,0x40,0x40,0x40,0x40,
679 0x40,0x40,0x40,0x40,0x40,0x0,0x40,0x0,
680 0x0,0x40,0x40,0x40,0x40,0x40,0x0,0x0,
681 0x40,0x40,0x40,0x40,0x40,0x2,0x0,0x40,
682 0x0,0x0,0x0,0x40,0x40,0x0,0x40,0x40,
683 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
684 0x40,0x2,0x0,0x40,0x0,0x0,0x0,0x40,
685 0x40,0x40,0x40,0x0,0x0,0x0,0x0,0x0,
686 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
687 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
688 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
689 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
690 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
691 0x0,0x0,0x0,0x0,0x0};
692 SetWordType zzerr39[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
693 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
694 0x0,0x0,0x0,0x0};
695 SetWordType zzerr40[28] = {0x0,0x0,0x0,0x0, 0x0,0x88,0x2,0x0, 0x0,0x0,0x0,0x0,
696 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
697 0x0,0x0,0x0,0x0};
698 SetWordType setwd12[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
699 0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,
700 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
701 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
702 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
703 0x0,0x0,0x0,0x1,0x80,0x0,0x0,0x0,
704 0x69,0x0,0x6b,0x0,0x0,0x0,0x0,0x14,
705 0x14,0x14,0x0,0x0,0x0,0x14,0x0,0x0,
706 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
707 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
708 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
709 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
710 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
711 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
712 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
713 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
714 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
715 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
716 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
717 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
718 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
719 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
720 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
721 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
722 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
723 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
724 0x0,0x0,0x0,0x0,0x0};
725 SetWordType zzerr41[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x60,
726 0xa0,0x0,0xc0,0x0, 0x20,0xf,0x0,0x18, 0x0,0x0,0x0,0x0,
727 0x0,0x0,0x0,0x0};
728 SetWordType zzerr42[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x60,
729 0x0,0x0,0xc0,0x0, 0x0,0xf,0x0,0x18, 0x0,0x0,0x0,0x0,
730 0x0,0x0,0x0,0x0};
731 SetWordType zzerr43[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x20,
732 0x0,0x0,0x40,0x0, 0x0,0x5,0x0,0x8, 0x0,0x0,0x0,0x0,
733 0x0,0x0,0x0,0x0};
734 SetWordType zzerr44[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x40,
735 0x0,0x0,0x80,0x0, 0x0,0xa,0x0,0x10, 0x0,0x0,0x0,0x0,
736 0x0,0x0,0x0,0x0};
737 SetWordType setwd13[212] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
738 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
739 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
740 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
741 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
742 0x0,0x0,0x40,0x80,0x8,0x0,0x48,0x0,
743 0x81,0x0,0x1,0x48,0x0,0x0,0x0,0x48,
744 0x48,0x48,0x0,0x0,0x0,0x48,0x0,0x0,
745 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
746 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
747 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
748 0x0,0x0,0x0,0x0,0x0,0x0,0x12,0x24,
749 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
750 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
751 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x12,
752 0x24,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
753 0x0,0x0,0x0,0x0,0x0,0x8,0x0,0x0,
754 0x0,0x12,0x24,0x12,0x24,0x0,0x0,0x0,
755 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
756 0x0,0x8,0x0,0x0,0x12,0x24,0x0,0x0,
757 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
758 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
759 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
760 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
761 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
762 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
763 0x0,0x0,0x0,0x0,0x0};
764 SetWordType zzerr45[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x80, 0x1c,0x1,0x0,0x0,
765 0x0,0xfa,0x9,0x87, 0xf,0x80,0x3,0x0, 0x3,0x0,0x0,0x0,
766 0x0,0x0,0x0,0x0};
767 SetWordType zzerr46[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
768 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
769 0x0,0x0,0x0,0x0};
770 SetWordType zzerr47[28] = {0x0,0x0,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x60,
771 0x0,0x0,0xc0,0x0, 0x0,0xf,0x0,0x18, 0x4,0x0,0x0,0x0,
772 0x0,0x0,0x0,0x0};
773 SetWordType setwd14[212] = {0x0,0x0,0x80,0x80,0x80,0x80,0x80,
774 0x80,0x80,0x80,0x0,0x0,0x80,0x80,0x80,
775 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x0,
776 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
777 0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
778 0x0,0x0,0xd2,0x81,0x80,0x0,0x82,0x0,
779 0x81,0x0,0x4,0x52,0x0,0x0,0x0,0xda,
780 0xda,0x82,0x0,0x0,0x0,0xda,0x0,0x8,
781 0x8,0x8,0x0,0x8,0x8,0x8,0x0,0x0,
782 0x0,0x8,0x0,0x0,0x8,0x0,0x0,0x0,
783 0x0,0x0,0x0,0x8,0x0,0x0,0x0,0x0,
784 0x8,0x0,0x0,0x0,0x0,0x8,0x20,0x20,
785 0x0,0x8,0x8,0x8,0x8,0x0,0x0,0x0,
786 0x0,0x0,0x8,0x0,0x8,0x8,0x8,0x8,
787 0x8,0x8,0x8,0x8,0x8,0x0,0x8,0x20,
788 0x20,0x8,0x8,0x8,0x8,0x8,0x0,0x0,
789 0x8,0x8,0x8,0x8,0x8,0x0,0x0,0x8,
790 0x0,0x20,0x20,0x28,0x28,0x0,0x8,0x8,
791 0x8,0x8,0x8,0x8,0x8,0x8,0x8,0x8,
792 0x8,0x0,0x0,0x8,0x20,0x20,0x0,0x8,
793 0x8,0x8,0x8,0x0,0x0,0x0,0x0,0x0,
794 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
795 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
796 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
797 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
798 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
799 0x0,0x0,0x0,0x0,0x0};
800 SetWordType zzerr48[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
801 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
802 0x0,0x0,0x0,0x0};
803 SetWordType zzerr49[28] = {0x0,0x0,0x0,0x0, 0x0,0xa,0x0,0x0, 0x0,0x0,0x0,0x0,
804 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
805 0x0,0x0,0x0,0x0};
806 SetWordType zzerr50[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
807 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
808 0x0,0x0,0x0,0x0};
809 SetWordType setwd15[212] = {0x0,0x0,0x80,0x80,0x80,0x80,0x80,
810 0x80,0x80,0x80,0x0,0x0,0x80,0x80,0x80,
811 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x0,
812 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
813 0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
814 0x0,0x0,0xcf,0x0,0x0,0x0,0x80,0x0,
815 0x10,0x0,0x10,0x1,0x0,0x0,0x0,0xa7,
816 0xa7,0x80,0x0,0x0,0x0,0xa7,0x0,0x0,
817 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
818 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
819 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
820 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
821 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
822 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
823 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
824 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
825 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
826 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
827 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
828 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
829 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
830 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
831 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
832 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
833 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
834 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
835 0x0,0x0,0x0,0x0,0x0};
836 SetWordType zzerr51[28] = {0x0,0x0,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
837 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
838 0x0,0x0,0x0,0x0};
839 SetWordType zzerr52[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
840 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
841 0x0,0x0,0x0,0x0};
842 SetWordType setwd16[212] = {0x0,0x4,0x0,0x0,0x0,0x0,0x0,
843 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
844 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
845 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
846 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
847 0x0,0x0,0x48,0x3,0x0,0x0,0x0,0x0,
848 0x13,0x0,0x10,0x8,0x0,0x0,0x0,0xa8,
849 0xa8,0x0,0x0,0x0,0x0,0xa8,0x0,0x80,
850 0x80,0x80,0x0,0x80,0x80,0x80,0x0,0x0,
851 0x0,0x80,0x0,0x0,0x80,0x0,0x0,0x0,
852 0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,
853 0x80,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
854 0x0,0x80,0x80,0x80,0x80,0x0,0x0,0x0,
855 0x0,0x0,0x80,0x0,0x80,0x80,0x80,0x80,
856 0x80,0x80,0x80,0x80,0x80,0x0,0x80,0x0,
857 0x0,0x80,0x80,0x80,0x80,0x80,0x0,0x0,
858 0x80,0x80,0x80,0x80,0x80,0x0,0x0,0x80,
859 0x0,0x0,0x0,0x80,0x80,0x0,0x80,0x80,
860 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
861 0x80,0x0,0x0,0x80,0x0,0x0,0x0,0x80,
862 0x80,0x80,0x80,0x0,0x0,0x0,0x0,0x0,
863 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
864 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
865 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
866 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
867 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
868 0x0,0x0,0x0,0x0,0x0};
869 SetWordType zzerr53[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
870 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
871 0x0,0x0,0x0,0x0};
872 SetWordType zzerr54[28] = {0x0,0x8,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x0,
873 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
874 0x0,0x0,0x0,0x0};
875 SetWordType zzerr55[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
876 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
877 0x0,0x0,0x0,0x0};
878 SetWordType zzerr56[28] = {0x0,0x0,0x0,0x0, 0x0,0xa,0x0,0x0, 0x0,0x0,0x0,0x0,
879 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
880 0x0,0x0,0x0,0x0};
881 SetWordType setwd17[212] = {0x0,0x0,0x2,0x2,0x2,0x2,0x2,
882 0x2,0x2,0x0,0x0,0x0,0x0,0x0,0x0,
883 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
884 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
885 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
886 0x0,0x0,0xcd,0x0,0x0,0x0,0x0,0x0,
887 0x10,0x0,0x10,0x1,0x0,0x0,0x0,0x2d,
888 0x2d,0x0,0x0,0x0,0x0,0x2d,0x0,0x0,
889 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
890 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
891 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
892 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
893 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
894 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
895 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
896 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
897 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
898 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
899 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
900 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
901 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
902 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
903 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
904 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
905 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
906 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
907 0x0,0x0,0x0,0x0,0x0};
908 SetWordType zzerr57[28] = {0x0,0x8,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
909 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
910 0x0,0x0,0x0,0x0};
911 SetWordType zzerr58[28] = {0x0,0x0,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
912 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
913 0x0,0x0,0x0,0x0};
914 SetWordType zzerr59[28] = {0x0,0x0,0x0,0x0, 0x0,0x4,0x0,0x0, 0x0,0x0,0x0,0x0,
915 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
916 0x0,0x0,0x0,0x0};
917 SetWordType setwd18[212] = {0x0,0x0,0x4a,0x4a,0x4a,0x4a,0x4a,
918 0x4a,0x4a,0x4a,0x0,0x0,0x4a,0x4a,0x4a,
919 0x4a,0x4a,0x4a,0x4a,0x4a,0x4a,0x4a,0x0,
920 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
921 0x0,0x0,0x0,0x0,0x0,0x4a,0x0,0x0,
922 0x0,0x0,0x4b,0xb6,0x0,0x0,0x4a,0x0,
923 0xb2,0x0,0x0,0x0,0x0,0x0,0x0,0x4a,
924 0x4a,0x4a,0x0,0x0,0x0,0x4a,0x0,0x0,
925 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
926 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
927 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
928 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
929 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
930 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
931 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
932 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
933 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
934 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
935 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
936 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
937 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
938 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
939 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
940 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
941 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
942 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
943 0x0,0x0,0x0,0x0,0x0};
944 SetWordType zzerr60[28] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xcf,0x11, 0xe3,0x12,0x0,0x8f,
945 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
946 0x0,0x0,0x0,0x0};
947 SetWordType setwd19[212] = {0x0,0x0,0xa8,0xa8,0xa8,0xa8,0xa8,
948 0xa8,0xa8,0xa8,0x20,0x0,0xa8,0xa8,0xa8,
949 0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0xa8,0x0,
950 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
951 0x0,0x0,0x20,0x0,0x0,0xa8,0x0,0x0,
952 0x0,0x88,0xa8,0x0,0x20,0x0,0xfc,0x20,
953 0xa8,0xcc,0x40,0xcc,0xcc,0x0,0x0,0xff,
954 0xff,0xfc,0x0,0x0,0x0,0xff,0x0,0x8b,
955 0x8b,0xcf,0xcc,0x8b,0x8b,0x8b,0xcc,0xcc,
956 0xcc,0x8b,0xcc,0x88,0x8b,0xcc,0x0,0x88,
957 0x88,0x88,0x88,0x8b,0x0,0x0,0x0,0x88,
958 0x8b,0xcc,0xcc,0xcc,0xcc,0x8b,0x0,0x0,
959 0xcc,0x8b,0x8b,0x8b,0x8b,0x88,0x0,0x0,
960 0x0,0x0,0x8b,0x0,0x8b,0x8b,0x8b,0x8b,
961 0x8b,0x8b,0x8b,0x8b,0x8b,0x0,0x8b,0x0,
962 0x0,0x8b,0x8b,0x8b,0x8b,0x8b,0xcc,0xcc,
963 0x8b,0x8b,0x8b,0x8b,0x8b,0x0,0x0,0x8b,
964 0x0,0x0,0x0,0x8b,0x8b,0x0,0x8b,0x8b,
965 0x8b,0x8b,0x8b,0x8b,0x8b,0x8b,0x8b,0x8b,
966 0x8b,0x0,0xcc,0x8b,0x0,0x0,0xcc,0x8b,
967 0x8b,0x8b,0x8b,0x0,0x0,0x0,0x0,0x0,
968 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
969 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
970 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
971 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
972 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
973 0x0,0x0,0x0,0x0,0x0};
974 SetWordType zzerr61[28] = {0x0,0x0,0x0,0x0, 0x0,0x81,0xd,0xc0, 0xff,0xdf,0xc7,0x9f,
975 0x1f,0xfa,0x2f,0xff, 0x4f,0xec,0xff,0xe6, 0x7,0x0,0x0,0x0,
976 0x0,0x0,0x0,0x0};
977 SetWordType zzerr62[28] = {0x2,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xff,0xff,
978 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,
979 0xff,0xff,0xf,0x0};
980 SetWordType zzerr63[28] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xcd,0x11, 0xe3,0x12,0x0,0x8f,
981 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
982 0x0,0x0,0x0,0x0};
983 SetWordType zzerr64[28] = {0x0,0x4,0x0,0x0, 0x2,0xc9,0xf,0x0, 0xe3,0x92,0x0,0x8f,
984 0x10,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x4,0x0,0x0,0x0,
985 0x0,0x0,0x0,0x0};
986 SetWordType setwd20[212] = {0x0,0x1,0x8f,0x8f,0x8f,0x8f,0x8f,
987 0x8f,0x8f,0x8f,0x1,0x1,0x8f,0x8f,0x8f,
988 0x8f,0x8f,0x8f,0x8f,0x8f,0x8f,0x8f,0x1,
989 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
990 0x1,0x1,0x1,0x1,0x1,0x8f,0x1,0x1,
991 0x1,0x8f,0xcf,0x1,0x1,0x1,0x8f,0x1,
992 0x8f,0x91,0x41,0x91,0x81,0x1,0x1,0xaf,
993 0xaf,0xaf,0x1,0x1,0x1,0xaf,0x1,0x81,
994 0x81,0x81,0x81,0x81,0x81,0x81,0x81,0x81,
995 0x81,0x81,0x81,0x8f,0x81,0x81,0x1,0x81,
996 0x81,0x81,0x81,0x81,0x1,0x1,0x1,0x81,
997 0x81,0x81,0x81,0x81,0x81,0x81,0x1,0x1,
998 0x81,0x81,0x81,0x81,0x81,0x81,0x1,0x1,
999 0x1,0x1,0x81,0x1,0x81,0x81,0x81,0x81,
1000 0x81,0x81,0x81,0x81,0x81,0x1,0x81,0x1,
1001 0x1,0x81,0x81,0x81,0x81,0x81,0x81,0x81,
1002 0x81,0x81,0x81,0x81,0x81,0x1,0x1,0x81,
1003 0x1,0x1,0x1,0x81,0x81,0x1,0x81,0x81,
1004 0x81,0x81,0x81,0x81,0x81,0x81,0x81,0x81,
1005 0x81,0x1,0x81,0x81,0x1,0x1,0x81,0x81,
1006 0x81,0x81,0x81,0x0,0x1,0x1,0x1,0x1,
1007 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1008 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1009 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1010 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1011 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1012 0x1,0x1,0x1,0x1,0x1};
1013 SetWordType zzerr65[28] = {0x0,0x4,0x0,0x0, 0x2,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1014 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1015 0x0,0x0,0x0,0x0};
1016 SetWordType zzerr66[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1017 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1018 0x0,0x0,0x0,0x0};
1019 SetWordType zzerr67[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1020 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1021 0x0,0x0,0x0,0x0};
1022 SetWordType zzerr68[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1023 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1024 0x0,0x0,0x0,0x0};
1025 SetWordType setwd21[212] = {0x0,0x0,0x24,0x24,0x24,0x24,0x24,
1026 0x24,0x24,0x24,0x0,0x0,0x24,0x24,0x24,
1027 0x24,0x24,0x24,0x24,0x24,0x24,0x24,0x0,
1028 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1029 0x0,0x0,0x0,0x0,0x0,0x24,0x0,0x0,
1030 0x0,0x0,0x24,0x1,0x0,0x0,0x24,0x0,
1031 0x1,0x48,0x93,0x48,0x0,0x0,0x0,0x24,
1032 0x24,0x24,0x0,0x0,0x0,0x24,0x0,0x0,
1033 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1034 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1035 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1036 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1037 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1038 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1039 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1040 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x48,
1041 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1042 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1043 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1044 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1045 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1046 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1047 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1048 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1049 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1050 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1051 0x0,0x0,0x0,0x0,0x0};
1052 SetWordType zzerr69[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1053 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1054 0x0,0x0,0x0,0x0};
1055 SetWordType zzerr70[28] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcf,0x11, 0xe3,0x12,0x0,0x8f,
1056 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
1057 0x0,0x0,0x0,0x0};
1058 SetWordType zzerr71[28] = {0x0,0x0,0x0,0x0, 0x0,0x81,0x0,0x0, 0x0,0x4,0x0,0x0,
1059 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1060 0x0,0x0,0x0,0x0};
1061 SetWordType setwd22[212] = {0x0,0x0,0x15,0x15,0x15,0x15,0x15,
1062 0x15,0x15,0x15,0x0,0x0,0x15,0x15,0x15,
1063 0x15,0x15,0x15,0x15,0x15,0x15,0x15,0x0,
1064 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1065 0x0,0x0,0x0,0x0,0x0,0x15,0x0,0x0,
1066 0x0,0x14,0x15,0x0,0x0,0x0,0x7f,0x0,
1067 0x14,0x6a,0xa,0x6a,0x6a,0x0,0x0,0x7f,
1068 0x7f,0x7f,0x0,0x0,0x0,0x7f,0x0,0x0,
1069 0x0,0x6a,0x6a,0x0,0x0,0x0,0x6a,0x6a,
1070 0x6a,0x0,0x6a,0x10,0x0,0x6a,0x0,0x0,
1071 0x20,0x10,0x0,0x0,0x0,0x0,0x0,0x0,
1072 0x80,0x6a,0x6a,0x6a,0x6a,0x0,0x0,0x0,
1073 0x6a,0x0,0x0,0x0,0x80,0x0,0x0,0x0,
1074 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1075 0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,
1076 0x0,0x0,0x0,0x0,0x80,0x80,0x6a,0x6a,
1077 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1078 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80,
1079 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1080 0x0,0x0,0x6a,0x0,0x0,0x0,0x6a,0x0,
1081 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1082 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1083 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1084 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1085 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1086 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1087 0x0,0x0,0x0,0x0,0x0};
1088 SetWordType zzerr72[28] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcd,0x11, 0xe3,0x92,0x0,0x8f,
1089 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
1090 0x0,0x0,0x0,0x0};
1091 SetWordType zzerr73[28] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcd,0x11, 0xe3,0x12,0x0,0x8f,
1092 0x10,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
1093 0x0,0x0,0x0,0x0};
1094 SetWordType zzerr74[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x80,0x0,
1095 0x8,0x0,0x4,0x18, 0x0,0x40,0x0,0x0, 0x0,0x0,0x0,0x0,
1096 0x0,0x0,0x0,0x0};
1097 SetWordType setwd23[212] = {0x0,0x0,0x42,0x42,0x42,0x42,0x42,
1098 0x42,0x42,0x42,0x0,0x0,0x42,0x42,0x42,
1099 0x42,0x42,0x42,0x42,0x42,0x42,0x42,0x0,
1100 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1101 0x0,0x0,0x0,0x0,0x0,0x42,0x0,0x0,
1102 0x0,0x42,0x42,0x0,0x0,0x0,0xef,0x0,
1103 0x42,0xef,0x0,0xef,0xef,0x0,0x0,0xef,
1104 0xef,0xef,0x0,0x0,0x0,0xef,0x0,0x42,
1105 0x42,0xef,0xef,0x42,0x42,0x42,0xef,0xef,
1106 0xef,0x42,0xef,0x42,0x42,0xef,0x0,0x42,
1107 0xc2,0x42,0x42,0x42,0x0,0x0,0x0,0x42,
1108 0xd2,0xef,0xef,0xef,0xef,0x42,0x0,0x0,
1109 0xef,0x42,0x42,0x42,0xd2,0xc6,0x0,0x0,
1110 0x0,0x0,0x42,0x0,0x42,0x42,0x42,0x42,
1111 0x42,0x42,0x42,0xd2,0x42,0x0,0x42,0x0,
1112 0x0,0x42,0x42,0x42,0xd2,0xd2,0xef,0xef,
1113 0x42,0x42,0x42,0x42,0x42,0x0,0x0,0x42,
1114 0x0,0x0,0x0,0x42,0x42,0x0,0x42,0xd2,
1115 0x42,0x42,0x42,0x42,0x42,0x42,0x42,0x42,
1116 0x42,0x0,0xef,0x42,0x0,0x0,0xef,0x42,
1117 0x42,0x42,0x42,0x0,0x0,0x0,0x0,0x0,
1118 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1119 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1120 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1121 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1122 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1123 0x0,0x0,0x0,0x0,0x0};
1124 SetWordType zzerr75[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
1125 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1126 0x0,0x0,0x0,0x0};
1127 SetWordType zzerr76[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x2,0x0, 0x0,0x0,0x0,0x0,
1128 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1129 0x0,0x0,0x0,0x0};
1130 SetWordType zzerr77[28] = {0xfc,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xef,0xff,
1131 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xfb,0xff,0xff,0xff,
1132 0xff,0xff,0xf,0x0};
1133 SetWordType zzerr78[28] = {0x0,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xef,0xff,
1134 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,
1135 0xff,0xff,0xf,0x0};
1136 SetWordType setwd24[212] = {0x0,0x0,0x48,0x48,0x48,0x48,0x48,
1137 0x48,0x48,0x48,0x40,0x40,0x48,0x48,0x48,
1138 0x48,0x48,0x48,0x48,0x48,0x48,0x48,0x40,
1139 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1140 0x40,0x40,0x40,0x40,0x40,0x48,0x40,0x40,
1141 0x40,0x48,0x58,0x40,0x40,0x40,0x49,0x40,
1142 0x48,0x49,0x70,0x49,0x49,0x40,0x40,0xcf,
1143 0xcf,0x4f,0x40,0x40,0x40,0xcf,0x40,0xc8,
1144 0xc8,0xc9,0x49,0xc8,0xc8,0xc8,0x49,0x49,
1145 0x49,0xc8,0x49,0x48,0xc8,0x49,0x40,0x48,
1146 0x49,0x48,0x48,0xc8,0x40,0x0,0x40,0x48,
1147 0xc9,0x49,0x49,0x49,0x49,0xc8,0x40,0x40,
1148 0x49,0xc8,0xc8,0xc8,0xc9,0x49,0x40,0x40,
1149 0x40,0x40,0xc8,0x40,0xc8,0xc8,0xc8,0xc8,
1150 0xc8,0xc8,0xc8,0xc9,0xc8,0x40,0xc8,0x40,
1151 0x40,0xc8,0xc8,0xc8,0xc9,0xc9,0x49,0x49,
1152 0xc8,0xc8,0xc8,0xc8,0xc8,0x40,0x40,0xc8,
1153 0x40,0x40,0x40,0xc8,0xc8,0x40,0xc8,0xc9,
1154 0xc8,0xc8,0xc8,0xc8,0xc8,0xc8,0xc8,0xc8,
1155 0xc8,0x40,0x49,0xc8,0x40,0x40,0x49,0xc8,
1156 0xc8,0xc8,0xc8,0x0,0x40,0x40,0x40,0x40,
1157 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1158 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1159 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1160 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1161 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1162 0x40,0x40,0x40,0x40,0x40};
1163 SetWordType zzerr79[28] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1164 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1165 0x0,0x0,0x0,0x0};
1166 SetWordType zzerr80[28] = {0x0,0x4,0x0,0x0, 0x2,0x8,0x2,0x0, 0x0,0x0,0x0,0x0,
1167 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1168 0x0,0x0,0x0,0x0};
1169 SetWordType zzerr81[28] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc0,0x11, 0x0,0x0,0x0,0x0,
1170 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1171 0x0,0x0,0x0,0x0};
1172 SetWordType zzerr82[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1173 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1174 0x0,0x0,0x0,0x0};
1175 SetWordType setwd25[212] = {0x0,0x0,0x20,0x20,0x20,0x20,0x20,
1176 0x20,0x20,0x0,0xc,0x0,0xc0,0xc0,0x40,
1177 0x40,0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,0x80,
1178 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x0,
1179 0x80,0x80,0x8c,0x80,0x80,0xc0,0x80,0x80,
1180 0x0,0x10,0x20,0x10,0x0,0x10,0x30,0x10,
1181 0x11,0x0,0x1d,0x0,0x0,0x0,0x0,0x22,
1182 0x22,0x22,0x0,0x0,0x0,0x22,0x0,0x0,
1183 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1184 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1185 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1186 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1187 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1188 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1189 0x0,0x10,0x0,0x0,0x0,0x0,0x0,0x0,
1190 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1191 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1192 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1193 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1194 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1195 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1196 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1197 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1198 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1199 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1200 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1201 0x0,0x0,0x0,0x0,0x0};
1202 SetWordType zzerr83[28] = {0x0,0x30,0xff,0xbf, 0xff,0xf5,0x2,0x0, 0x0,0x0,0x0,0x0,
1203 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1204 0x0,0x0,0x0,0x0};
1205 SetWordType zzerr84[28] = {0x0,0x0,0x0,0x0, 0x0,0x85,0x0,0x0, 0x0,0x0,0x0,0x0,
1206 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1207 0x0,0x0,0x0,0x0};
1208 SetWordType zzerr85[28] = {0x0,0xf0,0x3f,0x0, 0x10,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1209 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1210 0x0,0x0,0x0,0x0};
1211 SetWordType zzerr86[28] = {0x0,0x30,0xff,0xbf, 0x7f,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1212 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1213 0x0,0x0,0x0,0x0};
1214 SetWordType setwd26[212] = {0x0,0x0,0x70,0x70,0x70,0x70,0x70,
1215 0x70,0x70,0x20,0x0,0x0,0x20,0x20,0x20,
1216 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x0,
1217 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1218 0x0,0x0,0x0,0x0,0x0,0x20,0x0,0x0,
1219 0x0,0x3,0x30,0xf,0x0,0x3,0x33,0x3,
1220 0xf,0x0,0x3,0x0,0x0,0x0,0x0,0xb0,
1221 0xb0,0xb0,0x0,0x0,0x0,0xb0,0x0,0x0,
1222 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1223 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1224 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1225 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1226 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1227 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1228 0x0,0x3,0x0,0x0,0x0,0x0,0x0,0x0,
1229 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1230 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1231 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1232 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1233 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1234 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1235 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1236 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1237 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1238 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1239 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1240 0x0,0x0,0x0,0x0,0x0};
1241 SetWordType zzerr87[28] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1242 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1243 0x0,0x0,0x0,0x0};
1244 SetWordType zzerr88[28] = {0x0,0x30,0xff,0xbf, 0xff,0xfd,0x2,0x0, 0x0,0x0,0x0,0x0,
1245 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1246 0x0,0x0,0x0,0x0};
1247 SetWordType zzerr89[28] = {0xfc,0x1,0x0,0x0, 0x0,0x22,0xc0,0x11, 0x0,0x0,0x0,0x0,
1248 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1249 0x0,0x0,0x0,0x0};
1250 SetWordType zzerr90[28] = {0x0,0x0,0xc0,0xbf, 0xef,0xdd,0x2,0x0, 0x0,0x0,0x0,0x0,
1251 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1252 0x0,0x0,0x0,0x0};
1253 SetWordType zzerr91[28] = {0xfc,0x1,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1254 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1255 0x0,0x0,0x0,0x0};
1256 SetWordType zzerr92[28] = {0x0,0x0,0x0,0x0, 0x0,0xe0,0x0,0x0, 0x0,0x0,0x0,0x0,
1257 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1258 0x0,0x0,0x0,0x0};
1259 SetWordType setwd27[212] = {0x0,0x0,0x10,0x10,0x10,0x10,0x10,
1260 0x10,0x10,0x10,0x20,0x0,0x9b,0x9b,0x10,
1261 0x10,0x9b,0x9b,0x9b,0x9b,0x9b,0x9b,0x9b,
1262 0x9b,0x9b,0x9b,0x9b,0x9b,0x9b,0x9b,0x0,
1263 0x9b,0x9b,0xbb,0x9b,0x9b,0x9b,0x9b,0x9b,
1264 0x9b,0x9b,0x10,0x9b,0x1,0x9b,0x9b,0xdb,
1265 0xdb,0x10,0xbb,0x10,0x10,0x0,0x0,0x14,
1266 0x14,0x10,0x0,0x0,0x0,0x14,0x0,0x0,
1267 0x0,0x10,0x10,0x0,0x0,0x0,0x10,0x10,
1268 0x10,0x0,0x10,0x0,0x0,0x10,0x0,0x0,
1269 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1270 0x0,0x10,0x10,0x10,0x10,0x0,0x0,0x0,
1271 0x10,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1272 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1273 0x0,0x9b,0x0,0x0,0x0,0x0,0x0,0x0,
1274 0x0,0x0,0x0,0x0,0x0,0x0,0x10,0x10,
1275 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1276 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1277 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1278 0x0,0x0,0x10,0x0,0x0,0x0,0x10,0x0,
1279 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1280 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1281 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1282 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1283 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1284 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1285 0x0,0x0,0x0,0x0,0x0};
1286 SetWordType zzerr93[28] = {0x0,0x0,0x0,0x0, 0x0,0xc5,0x0,0x0, 0x0,0x0,0x0,0x0,
1287 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1288 0x0,0x0,0x0,0x0};
1289 SetWordType setwd28[212] = {0x0,0x0,0x88,0x88,0x88,0x88,0x88,
1290 0x88,0x88,0x88,0x0,0x0,0x89,0x89,0x88,
1291 0x88,0x89,0x89,0x89,0x89,0x89,0x89,0x1,
1292 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x0,
1293 0x1,0x1,0x1,0x1,0x1,0x89,0x1,0x1,
1294 0x1,0x35,0x8a,0x75,0x0,0x1,0x89,0x35,
1295 0x31,0x0,0x1,0x0,0x0,0x0,0x0,0x88,
1296 0x88,0x88,0x0,0x0,0x0,0x88,0x0,0x0,
1297 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1298 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1299 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1300 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1301 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1302 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1303 0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,
1304 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1305 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1306 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1307 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1308 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1309 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1310 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1311 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1312 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1313 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1314 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1315 0x0,0x0,0x0,0x0,0x0};
1316 SetWordType zzerr94[28] = {0x0,0x8,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
1317 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1318 0x0,0x0,0x0,0x0};
1319 SetWordType zzerr95[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
1320 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1321 0x0,0x0,0x0,0x0};
1322 SetWordType zzerr96[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x10, 0x0,0x0,0x0,0x0,
1323 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1324 0x0,0x0,0x0,0x0};
1325 SetWordType zzerr97[28] = {0xfc,0x1,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1326 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1327 0x0,0x0,0x0,0x0};
1328 SetWordType setwd29[212] = {0x0,0x0,0x38,0x38,0x38,0x38,0x38,
1329 0x38,0x38,0x18,0x18,0x0,0x18,0x18,0x18,
1330 0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,
1331 0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x0,
1332 0x18,0x18,0x18,0x18,0x18,0x18,0x18,0x18,
1333 0x18,0x18,0x98,0x1b,0x18,0x18,0x98,0x18,
1334 0x1b,0x18,0x18,0x18,0x18,0x0,0x0,0xdc,
1335 0xdc,0xd8,0x0,0x0,0x0,0xdc,0x0,0x0,
1336 0x0,0x18,0x18,0x0,0x0,0x0,0x18,0x18,
1337 0x18,0x0,0x18,0x0,0x0,0x18,0x0,0x0,
1338 0x10,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1339 0x10,0x18,0x18,0x18,0x18,0x0,0x0,0x0,
1340 0x18,0x0,0x0,0x0,0x10,0x10,0x0,0x0,
1341 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1342 0x0,0x18,0x0,0x10,0x0,0x0,0x0,0x0,
1343 0x0,0x0,0x0,0x0,0x10,0x10,0x18,0x18,
1344 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1345 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10,
1346 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1347 0x0,0x0,0x18,0x0,0x0,0x0,0x18,0x0,
1348 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1349 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1350 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1351 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1352 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1353 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1354 0x0,0x0,0x0,0x0,0x0};
1355 SetWordType zzerr98[28] = {0xfc,0x1,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1356 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1357 0x0,0x0,0x0,0x0};
1358 SetWordType zzerr99[28] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1359 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1360 0x0,0x0,0x0,0x0};
1361 SetWordType zzerr100[28] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1362 0x0,0x4,0x10,0x0, 0x0,0x0,0x0,0x0, 0x4,0x0,0x0,0x0,
1363 0x0,0x0,0x0,0x0};
1364 SetWordType setwd30[212] = {0x0,0x0,0x55,0x55,0x55,0x55,0x55,
1365 0x55,0x55,0x54,0x0,0x0,0x54,0x54,0x54,
1366 0x54,0x54,0x54,0x54,0x54,0x54,0x54,0x0,
1367 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1368 0x0,0x0,0x0,0x0,0x0,0x54,0x0,0x0,
1369 0x0,0x0,0x54,0xa0,0x0,0x0,0x54,0x0,
1370 0x0,0x14,0x14,0x14,0x14,0x0,0x0,0x5e,
1371 0x5e,0x5e,0x0,0x0,0x0,0x5e,0x0,0x0,
1372 0x0,0x14,0x14,0x0,0x0,0x0,0x14,0x14,
1373 0x14,0x0,0x14,0x0,0x0,0x14,0x0,0x0,
1374 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1375 0x0,0x14,0x14,0x14,0x14,0x0,0x0,0x0,
1376 0x14,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1377 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1378 0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,
1379 0x0,0x0,0x0,0x0,0x0,0x0,0x14,0x14,
1380 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1381 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1382 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1383 0x0,0x0,0x14,0x0,0x0,0x0,0x14,0x0,
1384 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1385 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1386 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1387 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1388 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1389 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1390 0x0,0x0,0x0,0x0,0x0};
0 <<
1 /* parser.dlg -- DLG Description of scanner
2 *
3 * Generated from: ./verilog.g
4 *
5 * Terence Parr, Will Cohen, and Hank Dietz: 1989-2001
6 * Purdue University Electrical Engineering
7 * With AHPCRC, University of Minnesota
8 * ANTLR Version 1.33MR33
9 */
10
11 #define ANTLR_VERSION 13333
12 #include "pcctscfg.h"
13 #include "pccts_stdio.h"
14
15
16 /*
17 * $Id: verilog.g,v 1.6 2009/01/13 22:39:24 gtkwave Exp $
18 * $Log: verilog.g,v $
19 * Revision 1.6 2009/01/13 22:39:24 gtkwave
20 * compile fixes for mingw
21 *
22 * Revision 1.5 2008/11/12 19:49:42 gtkwave
23 * changed usage of usize
24 *
25 * Revision 1.4 2008/11/10 13:46:07 gtkwave
26 * update to task enable in vermin grammar to handle hierarchical identifiers
27 *
28 * Revision 1.3 2008/11/08 15:39:11 gtkwave
29 * updated -f argument file handling in vermin
30 *
31 * Revision 1.2 2007/11/25 01:42:36 gtkwave
32 * added named parameter support to module instantiations in the vermin
33 * parser. (this is a verilog 2001 feature.)
34 *
35 * Revision 1.1.1.1 2007/05/30 04:25:47 gtkwave
36 * Imported sources
37 *
38 * Revision 1.1 2007/04/21 21:08:51 gtkwave
39 * changed from vertex to vermin
40 *
41 * Revision 1.2 2007/04/20 02:08:11 gtkwave
42 * initial release
43 *
44 */
45
46 #include "attrib.h"
47 #include "vpp_common.h"
48
49 #if defined __MINGW32__ || defined _MSC_VER
50 #define realpath(N,R) _fullpath((R),(N),_MAX_PATH)
51 #endif
52
53 int zzcr_attr(Attrib *attr, int token, char *text);
54 void vpp_update_yyfilename(const char *str);
55 void vpp_update_yylineno(const char *str);
56
57
58 #define LL_K 2
59 #include "antlr.h"
60 #include "keyword_tokens.h"
61 #include "tokens.h"
62 #include "dlgdef.h"
63 LOOKAHEAD
64
65 void
66 #ifdef __USE_PROTOS
67 zzerraction(void)
68 #else
69 zzerraction()
70 #endif
71 {
72 (*zzerr)("invalid token");
73 zzadvance();
74 zzskip();
75 }
76 >>
77
78
79 %%START
80
81 @
82 <<
83 NLA = V_EOF;
84 /* will set NLA=V_EOF; in generation*/
85 >>
86
87 [\ \t\b\r]+
88 <<
89 NLA = 163;
90 addtoken(0); zzskip();
91 >>
92
93 \n
94 <<
95 NLA = 164;
96 addtoken(1); zzskip();
97 >>
98
99 [0-9_]+
100 <<
101 NLA = V_DECIMAL_NUMBER;
102 addtoken(0); STMODE;
103 >>
104
105 [0-9_]+\.[0-9_]+
106 <<
107 NLA = V_FLOAT1;
108 addtoken(0); STMODE;
109 >>
110
111 [0-9_]+(\.[0-9_]+)?[Ee][0-9_]+
112 <<
113 NLA = V_FLOAT2;
114 addtoken(0); STMODE;
115 >>
116
117 [0-9_]*\'[dD][0-9_]+
118 <<
119 NLA = V_DBASE;
120 addtoken(0); STMODE;
121 >>
122
123 [0-9_]*\'[bB][xXzZ01_\?]+
124 <<
125 NLA = V_BBASE;
126 addtoken(0); STMODE;
127 >>
128
129 [0-9_]*'[oO][xXzZ0-7_\?]+
130 <<
131 NLA = V_OBASE;
132 addtoken(0); STMODE;
133 >>
134
135 [0-9_]*\'[hH][xXzZ0-9A-Fa-f_\?]+
136 <<
137 NLA = V_HBASE;
138 addtoken(0); STMODE;
139 >>
140
141 \"~[\"\r\n]*\"
142 <<
143 NLA = V_STRING;
144 addtoken(0); STMODE;
145 >>
146
147 \=
148 <<
149 NLA = V_EQ;
150 addtoken(0); STMODE;
151 >>
152
153 \.
154 <<
155 NLA = V_DOT;
156 addtoken(0); STMODE;
157 >>
158
159 \+
160 <<
161 NLA = V_PLUS;
162 addtoken(0); STMODE;
163 >>
164
165 \-
166 <<
167 NLA = V_MINUS;
168 addtoken(0); STMODE;
169 >>
170
171 \!
172 <<
173 NLA = V_BANG;
174 addtoken(0); STMODE;
175 >>
176
177 \~
178 <<
179 NLA = V_TILDE;
180 addtoken(0); STMODE;
181 >>
182
183 \&
184 <<
185 NLA = V_AND;
186 addtoken(0); STMODE;
187 >>
188
189 \~\&
190 <<
191 NLA = V_NAND;
192 addtoken(0); STMODE;
193 >>
194
195 \|
196 <<
197 NLA = V_OR;
198 addtoken(0); STMODE;
199 >>
200
201 \~\|
202 <<
203 NLA = V_NOR;
204 addtoken(0); STMODE;
205 >>
206
207 \^
208 <<
209 NLA = V_XOR;
210 addtoken(0); STMODE;
211 >>
212
213 \~\^
214 <<
215 NLA = V_XNOR;
216 addtoken(0); STMODE;
217 >>
218
219 \*
220 <<
221 NLA = V_STAR;
222 addtoken(0); STMODE;
223 >>
224
225 \/
226 <<
227 NLA = V_SLASH;
228 addtoken(0); STMODE;
229 >>
230
231 \%
232 <<
233 NLA = V_MOD;
234 addtoken(0); STMODE;
235 >>
236
237 \=\=
238 <<
239 NLA = V_EQ2;
240 addtoken(0); STMODE;
241 >>
242
243 \!\=
244 <<
245 NLA = V_NEQ;
246 addtoken(0); STMODE;
247 >>
248
249 \=\=\=
250 <<
251 NLA = V_EQ3;
252 addtoken(0); STMODE;
253 >>
254
255 \!\=\=
256 <<
257 NLA = V_NEQ2;
258 addtoken(0); STMODE;
259 >>
260
261 \&\&
262 <<
263 NLA = V_AND2;
264 addtoken(0); STMODE;
265 >>
266
267 \&\&\&
268 <<
269 NLA = V_AND3;
270 addtoken(0); STMODE;
271 >>
272
273 \|\|
274 <<
275 NLA = V_OR2;
276 addtoken(0); STMODE;
277 >>
278
279 \<
280 <<
281 NLA = V_LT;
282 addtoken(0); STMODE;
283 >>
284
285 \<\=
286 <<
287 NLA = V_LEQ;
288 addtoken(0); STMODE;
289 >>
290
291 \>
292 <<
293 NLA = V_GT;
294 addtoken(0); STMODE;
295 >>
296
297 \>\=
298 <<
299 NLA = V_GEQ;
300 addtoken(0); STMODE;
301 >>
302
303 \^\~
304 <<
305 NLA = V_XNOR2;
306 addtoken(0); STMODE;
307 >>
308
309 \<\<
310 <<
311 NLA = V_SHL;
312 addtoken(0); STMODE;
313 >>
314
315 \>\>
316 <<
317 NLA = V_SHR;
318 addtoken(0); STMODE;
319 >>
320
321 \?
322 <<
323 NLA = V_QUEST;
324 addtoken(0); STMODE;
325 >>
326
327 \:
328 <<
329 NLA = V_COLON;
330 addtoken(0); STMODE;
331 >>
332
333 \(
334 <<
335 NLA = V_LP;
336 addtoken(0); STMODE;
337 >>
338
339 \)
340 <<
341 NLA = V_RP;
342 addtoken(0); STMODE;
343 >>
344
345 \[
346 <<
347 NLA = V_LBRACK;
348 addtoken(0); STMODE;
349 >>
350
351 \]
352 <<
353 NLA = V_RBRACK;
354 addtoken(0); STMODE;
355 >>
356
357 \{
358 <<
359 NLA = V_LBRACE;
360 addtoken(0); STMODE;
361 >>
362
363 \}
364 <<
365 NLA = V_RBRACE;
366 addtoken(0); STMODE;
367 >>
368
369 \,
370 <<
371 NLA = V_COMMA;
372 addtoken(0); STMODE;
373 >>
374
375 \@
376 <<
377 NLA = V_AT;
378 addtoken(0); STMODE;
379 >>
380
381 \;
382 <<
383 NLA = V_SEMI;
384 addtoken(0); STMODE;
385 >>
386
387 \#
388 <<
389 NLA = V_POUND;
390 addtoken(0); STMODE;
391 >>
392
393 \-\>
394 <<
395 NLA = V_RARROW;
396 addtoken(0); STMODE;
397 >>
398
399 table
400 <<
401 NLA = V_TABLE;
402 addtoken(0); if(!do_not_translate) { zzmode(UDP); } else { zzskip(); }
403 >>
404
405 \=\>
406 <<
407 NLA = V_SPD1;
408 addtoken(0); STMODE;
409 >>
410
411 \*\>
412 <<
413 NLA = V_SPD2;
414 addtoken(0); STMODE;
415 >>
416
417 \$[_a-zA-Z][_a-zA-Z\$0-9]*
418 <<
419 NLA = V_FUNCTION_NAME;
420 addtoken(0); STMODE;
421 >>
422
423 [_a-zA-Z][_a-zA-Z\$0-9]*
424 <<
425 NLA = V_IDENTIFIER;
426 addtoken(0); NLA = verilog_keyword_code(zztext, zzrewind); STMODE;
427 >>
428
429 \\~[\ \t\b\n\r]+
430 <<
431 NLA = V_IDENTIFIER2;
432 addtoken(0); STMODE;
433 >>
434
435 [_a-zA-Z][_a-zA-Z\$0-9]*(\.[_a-zA-Z][_a-zA-Z\$0-9]*)+
436 <<
437 NLA = V_IDENDOT;
438 addtoken(0); STMODE;
439 >>
440
441 //~[\n]*
442 <<
443 NLA = 165;
444 addtoken(0); parsecomment(); zzskip();
445 >>
446
447 /\*
448 <<
449 NLA = 166;
450 addtoken(0); zzcomment_depth=1; zzcomment_entry=1; zzcomment_start=zzline; zzmode(COMMENT); zzskip();
451 >>
452
453 \*/
454 <<
455 NLA = 167;
456 addtoken(0);
457 mesg("** End of comment when not in a comment on line %d in file '%s'.\n", zzline, zzfilename);
458 zzskip();
459 >>
460
461 `uselib~[\n]*
462 <<
463 NLA = 184;
464 addtoken(0); zzskip();
465 >>
466
467 `default_nettype[\ \t\b]+[a-z]+
468 <<
469 NLA = 185;
470 addtoken(0); zzskip();
471 >>
472
473 `define~[\n]*
474 <<
475 NLA = 186;
476 addtoken(0); if(!do_not_translate) store_define(zztext); zzskip();
477 >>
478
479 `undef[\ \t\b]+[a-zA-Z_][a-zA-Z0-9_$]*
480 <<
481 NLA = 187;
482 addtoken(0); if(!do_not_translate) remove_define(zztext); zzskip();
483 >>
484
485 `unconnected_drive[\ \t\b]+pull[01]
486 <<
487 NLA = 188;
488 addtoken(0); zzskip();
489 >>
490
491 `nounconnected_drive
492 <<
493 NLA = 189;
494 addtoken(0); zzskip();
495 >>
496
497 `resetall
498 <<
499 NLA = 190;
500 addtoken(0); zzskip();
501 >>
502
503 `timescale[\ \t\b]+1{0}{0}[\ \t\b]*{[munpf]}s[\ \t\b]*/[\ \t\b]*1{0}{0}[\ \t\b]*{[munpf]}s
504 <<
505 NLA = 191;
506 addtoken(0); zzskip();
507 >>
508
509 `ifdef[\ \t\b]+[a-zA-Z_][a-zA-Z0-9_$]*
510 <<
511 NLA = 192;
512 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifdef(zztext); zzskip();
513 >>
514
515 `ifndef[\ \t\b]+[a-zA-Z_][a-zA-Z0-9_$]*
516 <<
517 NLA = 193;
518 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifndef(zztext); zzskip();
519 >>
520
521 `else
522 <<
523 NLA = 194;
524 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_else(); zzskip();
525 >>
526
527 `endif
528 <<
529 NLA = 195;
530 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_endif(); zzskip();
531 >>
532
533 `include[\ \t\b]+\"~[\"\n]*\"
534 <<
535 NLA = 196;
536 addtoken(0); if(!do_not_translate) handle_include(zztext); zzskip();
537 >>
538
539 `[a-zA-Z_][a-zA-Z0-9_$]*
540 <<
541 NLA = 197;
542 addtoken(0);
543 if(is_builtin_define(zztext+1, zzrewind-1)) warn("** Warning: ignoring unsupported '%s' directive in file '%s' line %d\n", zztext, zzfilename, zzline);
544 else if(!do_not_translate)
545 warn("** Warning: macro '%s' undefined in file '%s' line %d\n", zztext, zzfilename, zzline);
546 zzskip();
547 >>
548
549
550 %%COMMENT
551
552 @
553 <<
554 NLA = V_EOF;
555 >>
556
557 /\*
558 <<
559 NLA = 168;
560 addtoken(0); /* zzcomment_depth++; <=- VERILOG DOES NOT ALLOW NESTED COMMENTS */
561 zzskip();
562 >>
563
564 \*/
565 <<
566 NLA = 169;
567 addtoken(0); zzcomment_depth--; zzskip(); if(!zzcomment_depth) { zzmode(START); }
568 >>
569
570 \n
571 <<
572 NLA = 170;
573 addtoken(1); zzskip();
574 >>
575
576 ~[/\*\n]+
577 <<
578 NLA = 171;
579 addtoken(0); if(zzcomment_entry) { parsecomment(); zzcomment_entry=0; } zzskip();
580 >>
581
582 [/\*]
583 <<
584 NLA = 172;
585 addtoken(0); zzskip();
586 >>
587
588
589 %%UDP
590
591 @
592 <<
593 NLA = V_EOF;
594 >>
595
596 [01xX\?bB]
597 <<
598 NLA = V_OUTPUT_SYMBOL;
599 addtoken(0); STMODE;
600 >>
601
602 [\?bB]
603 <<
604 NLA = V_LEVEL_SYMBOL_EXTRA;
605 addtoken(0); STMODE;
606 >>
607
608 [rRfFpPnN\*]
609 <<
610 NLA = V_EDGE_SYMBOL;
611 addtoken(0); STMODE;
612 >>
613
614 \-
615 <<
616 NLA = V_HYPHEN;
617 addtoken(0); STMODE;
618 >>
619
620 \n
621 <<
622 NLA = 173;
623 addtoken(1); zzskip();
624 >>
625
626 [\ \t\b\r]+
627 <<
628 NLA = 174;
629 addtoken(0); zzskip();
630 >>
631
632 \:
633 <<
634 NLA = V_COLON;
635 addtoken(0); STMODE;
636 >>
637
638 \;
639 <<
640 NLA = V_SEMI;
641 addtoken(0); STMODE;
642 >>
643
644 endtable
645 <<
646 NLA = V_ENDTABLE;
647 addtoken(0); if(!do_not_translate) { zzmode(START); } else { zzskip(); }
648 >>
649
650 \$[_a-zA-Z][_a-zA-Z\$0-9]*
651 <<
652 NLA = V_FUNCTION_NAME;
653 addtoken(0); STMODE;
654 >>
655
656 [_a-zA-Z][_a-zA-Z\$0-9]*
657 <<
658 NLA = V_IDENTIFIER;
659 addtoken(0); STMODE;
660 >>
661
662 \\~[\ \t\b\n\r]+
663 <<
664 NLA = V_IDENTIFIER2;
665 addtoken(0); STMODE;
666 >>
667
668 [_a-zA-Z][_a-zA-Z\$0-9]*(\.[_a-zA-Z][_a-zA-Z\$0-9]*)+
669 <<
670 NLA = V_IDENDOT;
671 addtoken(0); STMODE;
672 >>
673
674 \(
675 <<
676 NLA = V_LP;
677 addtoken(0); STMODE;
678 >>
679
680 \)
681 <<
682 NLA = V_RP;
683 addtoken(0); STMODE;
684 >>
685
686 `~[\n]*
687 <<
688 NLA = 175;
689 addtoken(0); zzskip();
690 >>
691
692 //~[\n]*
693 <<
694 NLA = 176;
695 addtoken(0); parsecomment(); zzskip();
696 >>
697
698 /\*
699 <<
700 NLA = 177;
701 addtoken(0); zzcomment_depth=1; zzcomment_entry=1; zzcomment_start=zzline; zzmode(COMMENT); zzskip();
702 >>
703
704 \*/
705 <<
706 NLA = 178;
707 addtoken(0);
708 mesg("** End of comment when not in a comment on line %d in file '%s'.\n", zzline, zzfilename);
709 zzskip();
710 >>
711
712 `uselib~[\n]*
713 <<
714 NLA = 198;
715 addtoken(0); zzskip();
716 >>
717
718 `default_nettype[\ \t\b]+[a-z]+
719 <<
720 NLA = 199;
721 addtoken(0); zzskip();
722 >>
723
724 `define~[\n]*
725 <<
726 NLA = 200;
727 addtoken(0); if(!do_not_translate) store_define(zztext); zzskip();
728 >>
729
730 `undef[\ \t\b]+[a-zA-Z_][a-zA-Z0-9_$]*
731 <<
732 NLA = 201;
733 addtoken(0); if(!do_not_translate) remove_define(zztext); zzskip();
734 >>
735
736 `unconnected_drive[\ \t\b]+pull[01]
737 <<
738 NLA = 202;
739 addtoken(0); zzskip();
740 >>
741
742 `nounconnected_drive
743 <<
744 NLA = 203;
745 addtoken(0); zzskip();
746 >>
747
748 `resetall
749 <<
750 NLA = 204;
751 addtoken(0); zzskip();
752 >>
753
754 `timescale[\ \t\b]+1{0}{0}[\ \t\b]*{[munpf]}s[\ \t\b]*/[\ \t\b]*1{0}{0}[\ \t\b]*{[munpf]}s
755 <<
756 NLA = 205;
757 addtoken(0); zzskip();
758 >>
759
760 `ifdef[\ \t\b]+[a-zA-Z_][a-zA-Z0-9_$]*
761 <<
762 NLA = 206;
763 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifdef(zztext); zzskip();
764 >>
765
766 `ifndef[\ \t\b]+[a-zA-Z_][a-zA-Z0-9_$]*
767 <<
768 NLA = 207;
769 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifndef(zztext); zzskip();
770 >>
771
772 `else
773 <<
774 NLA = 208;
775 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_else(); zzskip();
776 >>
777
778 `endif
779 <<
780 NLA = 209;
781 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_endif(); zzskip();
782 >>
783
784 `include[\ \t\b]+\"~[\"\n]*\"
785 <<
786 NLA = 210;
787 addtoken(0); if(!do_not_translate) handle_include(zztext); zzskip();
788 >>
789
790 `[a-zA-Z_][a-zA-Z0-9_$]*
791 <<
792 NLA = 211;
793 addtoken(0);
794 if(is_builtin_define(zztext+1, zzrewind-1)) warn("** Warning: ignoring unsupported '%s' directive in file '%s' line %d\n", zztext, zzfilename, zzline);
795 else if(!do_not_translate)
796 warn("** Warning: macro '%s' undefined in file '%s' line %d\n", zztext, zzfilename, zzline);
797 zzskip();
798 >>
799
800
801 %%UDPCOMMENT
802
803 @
804 <<
805 NLA = V_EOF;
806 >>
807
808 /\*
809 <<
810 NLA = 179;
811 addtoken(0); /* zzcomment_depth++; <=- VERILOG DOES NOT ALLOW NESTED COMMENTS */
812 zzskip();
813 >>
814
815 \*/
816 <<
817 NLA = 180;
818 addtoken(0); zzcomment_depth--; zzskip(); if(!zzcomment_depth) { zzmode(UDP); }
819 >>
820
821 \n
822 <<
823 NLA = 181;
824 addtoken(1); zzskip();
825 >>
826
827 ~[/\*\n]+
828 <<
829 NLA = 182;
830 addtoken(0); if(zzcomment_entry) { parsecomment(); zzcomment_entry=0; } zzskip();
831 >>
832
833 [/\*]
834 <<
835 NLA = 183;
836 addtoken(0); zzskip();
837 >>
838
839 %%
0
1 /* parser.dlg -- DLG Description of scanner
2 *
3 * Generated from: ./verilog.g
4 *
5 * Terence Parr, Will Cohen, and Hank Dietz: 1989-2001
6 * Purdue University Electrical Engineering
7 * With AHPCRC, University of Minnesota
8 * ANTLR Version 1.33MR33
9 */
10
11 #define ANTLR_VERSION 13333
12 #include "pcctscfg.h"
13 #include "pccts_stdio.h"
14
15
16 /*
17 * $Id: verilog.g,v 1.6 2009/01/13 22:39:24 gtkwave Exp $
18 * $Log: verilog.g,v $
19 * Revision 1.6 2009/01/13 22:39:24 gtkwave
20 * compile fixes for mingw
21 *
22 * Revision 1.5 2008/11/12 19:49:42 gtkwave
23 * changed usage of usize
24 *
25 * Revision 1.4 2008/11/10 13:46:07 gtkwave
26 * update to task enable in vermin grammar to handle hierarchical identifiers
27 *
28 * Revision 1.3 2008/11/08 15:39:11 gtkwave
29 * updated -f argument file handling in vermin
30 *
31 * Revision 1.2 2007/11/25 01:42:36 gtkwave
32 * added named parameter support to module instantiations in the vermin
33 * parser. (this is a verilog 2001 feature.)
34 *
35 * Revision 1.1.1.1 2007/05/30 04:25:47 gtkwave
36 * Imported sources
37 *
38 * Revision 1.1 2007/04/21 21:08:51 gtkwave
39 * changed from vertex to vermin
40 *
41 * Revision 1.2 2007/04/20 02:08:11 gtkwave
42 * initial release
43 *
44 */
45
46 #include "attrib.h"
47 #include "vpp_common.h"
48
49 #if defined __MINGW32__ || defined _MSC_VER
50 #define realpath(N,R) _fullpath((R),(N),_MAX_PATH)
51 #endif
52
53 int zzcr_attr(Attrib *attr, int token, char *text);
54 void vpp_update_yyfilename(const char *str);
55 void vpp_update_yylineno(const char *str);
56
57
58 #define LL_K 2
59 #include "antlr.h"
60 #include "keyword_tokens.h"
61 #include "tokens.h"
62 #include "dlgdef.h"
63 LOOKAHEAD
64
65 void
66 #ifdef __USE_PROTOS
67 zzerraction(void)
68 #else
69 zzerraction()
70 #endif
71 {
72 (*zzerr)("invalid token");
73 zzadvance();
74 zzskip();
75 }
76 /*
77 * D L G tables
78 *
79 * Generated from: ./parser.dlg
80 *
81 * 1989-2001 by Will Cohen, Terence Parr, and Hank Dietz
82 * Purdue University Electrical Engineering
83 * DLG Version 1.33MR33
84 */
85
86 #include "mode.h"
87
88
89
90 static void act1()
91 {
92 NLA = V_EOF;
93 /* will set NLA=V_EOF; in generation*/
94 }
95
96
97 static void act2()
98 {
99 NLA = 163;
100 addtoken(0); zzskip();
101 }
102
103
104 static void act3()
105 {
106 NLA = 164;
107 addtoken(1); zzskip();
108 }
109
110
111 static void act4()
112 {
113 NLA = V_DECIMAL_NUMBER;
114 addtoken(0); STMODE;
115 }
116
117
118 static void act5()
119 {
120 NLA = V_FLOAT1;
121 addtoken(0); STMODE;
122 }
123
124
125 static void act6()
126 {
127 NLA = V_FLOAT2;
128 addtoken(0); STMODE;
129 }
130
131
132 static void act7()
133 {
134 NLA = V_DBASE;
135 addtoken(0); STMODE;
136 }
137
138
139 static void act8()
140 {
141 NLA = V_BBASE;
142 addtoken(0); STMODE;
143 }
144
145
146 static void act9()
147 {
148 NLA = V_OBASE;
149 addtoken(0); STMODE;
150 }
151
152
153 static void act10()
154 {
155 NLA = V_HBASE;
156 addtoken(0); STMODE;
157 }
158
159
160 static void act11()
161 {
162 NLA = V_STRING;
163 addtoken(0); STMODE;
164 }
165
166
167 static void act12()
168 {
169 NLA = V_EQ;
170 addtoken(0); STMODE;
171 }
172
173
174 static void act13()
175 {
176 NLA = V_DOT;
177 addtoken(0); STMODE;
178 }
179
180
181 static void act14()
182 {
183 NLA = V_PLUS;
184 addtoken(0); STMODE;
185 }
186
187
188 static void act15()
189 {
190 NLA = V_MINUS;
191 addtoken(0); STMODE;
192 }
193
194
195 static void act16()
196 {
197 NLA = V_BANG;
198 addtoken(0); STMODE;
199 }
200
201
202 static void act17()
203 {
204 NLA = V_TILDE;
205 addtoken(0); STMODE;
206 }
207
208
209 static void act18()
210 {
211 NLA = V_AND;
212 addtoken(0); STMODE;
213 }
214
215
216 static void act19()
217 {
218 NLA = V_NAND;
219 addtoken(0); STMODE;
220 }
221
222
223 static void act20()
224 {
225 NLA = V_OR;
226 addtoken(0); STMODE;
227 }
228
229
230 static void act21()
231 {
232 NLA = V_NOR;
233 addtoken(0); STMODE;
234 }
235
236
237 static void act22()
238 {
239 NLA = V_XOR;
240 addtoken(0); STMODE;
241 }
242
243
244 static void act23()
245 {
246 NLA = V_XNOR;
247 addtoken(0); STMODE;
248 }
249
250
251 static void act24()
252 {
253 NLA = V_STAR;
254 addtoken(0); STMODE;
255 }
256
257
258 static void act25()
259 {
260 NLA = V_SLASH;
261 addtoken(0); STMODE;
262 }
263
264
265 static void act26()
266 {
267 NLA = V_MOD;
268 addtoken(0); STMODE;
269 }
270
271
272 static void act27()
273 {
274 NLA = V_EQ2;
275 addtoken(0); STMODE;
276 }
277
278
279 static void act28()
280 {
281 NLA = V_NEQ;
282 addtoken(0); STMODE;
283 }
284
285
286 static void act29()
287 {
288 NLA = V_EQ3;
289 addtoken(0); STMODE;
290 }
291
292
293 static void act30()
294 {
295 NLA = V_NEQ2;
296 addtoken(0); STMODE;
297 }
298
299
300 static void act31()
301 {
302 NLA = V_AND2;
303 addtoken(0); STMODE;
304 }
305
306
307 static void act32()
308 {
309 NLA = V_AND3;
310 addtoken(0); STMODE;
311 }
312
313
314 static void act33()
315 {
316 NLA = V_OR2;
317 addtoken(0); STMODE;
318 }
319
320
321 static void act34()
322 {
323 NLA = V_LT;
324 addtoken(0); STMODE;
325 }
326
327
328 static void act35()
329 {
330 NLA = V_LEQ;
331 addtoken(0); STMODE;
332 }
333
334
335 static void act36()
336 {
337 NLA = V_GT;
338 addtoken(0); STMODE;
339 }
340
341
342 static void act37()
343 {
344 NLA = V_GEQ;
345 addtoken(0); STMODE;
346 }
347
348
349 static void act38()
350 {
351 NLA = V_XNOR2;
352 addtoken(0); STMODE;
353 }
354
355
356 static void act39()
357 {
358 NLA = V_SHL;
359 addtoken(0); STMODE;
360 }
361
362
363 static void act40()
364 {
365 NLA = V_SHR;
366 addtoken(0); STMODE;
367 }
368
369
370 static void act41()
371 {
372 NLA = V_QUEST;
373 addtoken(0); STMODE;
374 }
375
376
377 static void act42()
378 {
379 NLA = V_COLON;
380 addtoken(0); STMODE;
381 }
382
383
384 static void act43()
385 {
386 NLA = V_LP;
387 addtoken(0); STMODE;
388 }
389
390
391 static void act44()
392 {
393 NLA = V_RP;
394 addtoken(0); STMODE;
395 }
396
397
398 static void act45()
399 {
400 NLA = V_LBRACK;
401 addtoken(0); STMODE;
402 }
403
404
405 static void act46()
406 {
407 NLA = V_RBRACK;
408 addtoken(0); STMODE;
409 }
410
411
412 static void act47()
413 {
414 NLA = V_LBRACE;
415 addtoken(0); STMODE;
416 }
417
418
419 static void act48()
420 {
421 NLA = V_RBRACE;
422 addtoken(0); STMODE;
423 }
424
425
426 static void act49()
427 {
428 NLA = V_COMMA;
429 addtoken(0); STMODE;
430 }
431
432
433 static void act50()
434 {
435 NLA = V_AT;
436 addtoken(0); STMODE;
437 }
438
439
440 static void act51()
441 {
442 NLA = V_SEMI;
443 addtoken(0); STMODE;
444 }
445
446
447 static void act52()
448 {
449 NLA = V_POUND;
450 addtoken(0); STMODE;
451 }
452
453
454 static void act53()
455 {
456 NLA = V_RARROW;
457 addtoken(0); STMODE;
458 }
459
460
461 static void act54()
462 {
463 NLA = V_TABLE;
464 addtoken(0); if(!do_not_translate) { zzmode(UDP); } else { zzskip(); }
465 }
466
467
468 static void act55()
469 {
470 NLA = V_SPD1;
471 addtoken(0); STMODE;
472 }
473
474
475 static void act56()
476 {
477 NLA = V_SPD2;
478 addtoken(0); STMODE;
479 }
480
481
482 static void act57()
483 {
484 NLA = V_FUNCTION_NAME;
485 addtoken(0); STMODE;
486 }
487
488
489 static void act58()
490 {
491 NLA = V_IDENTIFIER;
492 addtoken(0); NLA = verilog_keyword_code(zztext, zzrewind); STMODE;
493 }
494
495
496 static void act59()
497 {
498 NLA = V_IDENTIFIER2;
499 addtoken(0); STMODE;
500 }
501
502
503 static void act60()
504 {
505 NLA = V_IDENDOT;
506 addtoken(0); STMODE;
507 }
508
509
510 static void act61()
511 {
512 NLA = 165;
513 addtoken(0); parsecomment(); zzskip();
514 }
515
516
517 static void act62()
518 {
519 NLA = 166;
520 addtoken(0); zzcomment_depth=1; zzcomment_entry=1; zzcomment_start=zzline; zzmode(COMMENT); zzskip();
521 }
522
523
524 static void act63()
525 {
526 NLA = 167;
527 addtoken(0);
528 mesg("** End of comment when not in a comment on line %d in file '%s'.\n", zzline, zzfilename);
529 zzskip();
530 }
531
532
533 static void act64()
534 {
535 NLA = 184;
536 addtoken(0); zzskip();
537 }
538
539
540 static void act65()
541 {
542 NLA = 185;
543 addtoken(0); zzskip();
544 }
545
546
547 static void act66()
548 {
549 NLA = 186;
550 addtoken(0); if(!do_not_translate) store_define(zztext); zzskip();
551 }
552
553
554 static void act67()
555 {
556 NLA = 187;
557 addtoken(0); if(!do_not_translate) remove_define(zztext); zzskip();
558 }
559
560
561 static void act68()
562 {
563 NLA = 188;
564 addtoken(0); zzskip();
565 }
566
567
568 static void act69()
569 {
570 NLA = 189;
571 addtoken(0); zzskip();
572 }
573
574
575 static void act70()
576 {
577 NLA = 190;
578 addtoken(0); zzskip();
579 }
580
581
582 static void act71()
583 {
584 NLA = 191;
585 addtoken(0); zzskip();
586 }
587
588
589 static void act72()
590 {
591 NLA = 192;
592 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifdef(zztext); zzskip();
593 }
594
595
596 static void act73()
597 {
598 NLA = 193;
599 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifndef(zztext); zzskip();
600 }
601
602
603 static void act74()
604 {
605 NLA = 194;
606 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_else(); zzskip();
607 }
608
609
610 static void act75()
611 {
612 NLA = 195;
613 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_endif(); zzskip();
614 }
615
616
617 static void act76()
618 {
619 NLA = 196;
620 addtoken(0); if(!do_not_translate) handle_include(zztext); zzskip();
621 }
622
623
624 static void act77()
625 {
626 NLA = 197;
627 addtoken(0);
628 if(is_builtin_define(zztext+1, zzrewind-1)) warn("** Warning: ignoring unsupported '%s' directive in file '%s' line %d\n", zztext, zzfilename, zzline);
629 else if(!do_not_translate)
630 warn("** Warning: macro '%s' undefined in file '%s' line %d\n", zztext, zzfilename, zzline);
631 zzskip();
632 }
633
634 static unsigned char shift0[257] = {
635 0, 69, 69, 69, 69, 69, 69, 69, 69, 1,
636 1, 3, 69, 69, 2, 69, 69, 69, 69, 69,
637 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
638 69, 69, 69, 1, 32, 28, 52, 55, 39, 34,
639 13, 43, 44, 37, 30, 49, 31, 9, 38, 6,
640 5, 7, 7, 7, 7, 7, 7, 8, 8, 42,
641 51, 40, 29, 41, 10, 50, 27, 17, 27, 15,
642 12, 27, 66, 23, 66, 66, 66, 66, 66, 66,
643 21, 66, 66, 66, 66, 66, 66, 66, 66, 19,
644 66, 19, 45, 67, 46, 36, 4, 68, 24, 16,
645 26, 14, 11, 25, 65, 22, 58, 65, 65, 54,
646 64, 59, 20, 61, 65, 62, 57, 53, 56, 63,
647 65, 18, 60, 18, 47, 35, 48, 33, 69, 69,
648 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
649 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
650 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
651 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
652 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
653 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
654 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
655 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
656 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
657 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
658 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
659 69, 69, 69, 69, 69, 69, 69, 69, 69, 69,
660 69, 69, 69, 69, 69, 69, 69
661 };
662
663
664 static void act78()
665 {
666 NLA = V_EOF;
667 }
668
669
670 static void act79()
671 {
672 NLA = 168;
673 addtoken(0); /* zzcomment_depth++; <=- VERILOG DOES NOT ALLOW NESTED COMMENTS */
674 zzskip();
675 }
676
677
678 static void act80()
679 {
680 NLA = 169;
681 addtoken(0); zzcomment_depth--; zzskip(); if(!zzcomment_depth) { zzmode(START); }
682 }
683
684
685 static void act81()
686 {
687 NLA = 170;
688 addtoken(1); zzskip();
689 }
690
691
692 static void act82()
693 {
694 NLA = 171;
695 addtoken(0); if(zzcomment_entry) { parsecomment(); zzcomment_entry=0; } zzskip();
696 }
697
698
699 static void act83()
700 {
701 NLA = 172;
702 addtoken(0); zzskip();
703 }
704
705 static unsigned char shift1[257] = {
706 0, 4, 4, 4, 4, 4, 4, 4, 4, 4,
707 4, 3, 4, 4, 4, 4, 4, 4, 4, 4,
708 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
709 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
710 4, 4, 4, 2, 4, 4, 4, 4, 1, 4,
711 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
712 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
713 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
714 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
715 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
716 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
717 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
718 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
719 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
720 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
721 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
722 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
723 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
724 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
725 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
726 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
727 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
728 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
729 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
730 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
731 4, 4, 4, 4, 4, 4, 4
732 };
733
734
735 static void act84()
736 {
737 NLA = V_EOF;
738 }
739
740
741 static void act85()
742 {
743 NLA = V_OUTPUT_SYMBOL;
744 addtoken(0); STMODE;
745 }
746
747
748 static void act86()
749 {
750 NLA = V_LEVEL_SYMBOL_EXTRA;
751 addtoken(0); STMODE;
752 }
753
754
755 static void act87()
756 {
757 NLA = V_EDGE_SYMBOL;
758 addtoken(0); STMODE;
759 }
760
761
762 static void act88()
763 {
764 NLA = V_HYPHEN;
765 addtoken(0); STMODE;
766 }
767
768
769 static void act89()
770 {
771 NLA = 173;
772 addtoken(1); zzskip();
773 }
774
775
776 static void act90()
777 {
778 NLA = 174;
779 addtoken(0); zzskip();
780 }
781
782
783 static void act91()
784 {
785 NLA = V_COLON;
786 addtoken(0); STMODE;
787 }
788
789
790 static void act92()
791 {
792 NLA = V_SEMI;
793 addtoken(0); STMODE;
794 }
795
796
797 static void act93()
798 {
799 NLA = V_ENDTABLE;
800 addtoken(0); if(!do_not_translate) { zzmode(START); } else { zzskip(); }
801 }
802
803
804 static void act94()
805 {
806 NLA = V_FUNCTION_NAME;
807 addtoken(0); STMODE;
808 }
809
810
811 static void act95()
812 {
813 NLA = V_IDENTIFIER;
814 addtoken(0); STMODE;
815 }
816
817
818 static void act96()
819 {
820 NLA = V_IDENTIFIER2;
821 addtoken(0); STMODE;
822 }
823
824
825 static void act97()
826 {
827 NLA = V_IDENDOT;
828 addtoken(0); STMODE;
829 }
830
831
832 static void act98()
833 {
834 NLA = V_LP;
835 addtoken(0); STMODE;
836 }
837
838
839 static void act99()
840 {
841 NLA = V_RP;
842 addtoken(0); STMODE;
843 }
844
845
846 static void act100()
847 {
848 NLA = 175;
849 addtoken(0); zzskip();
850 }
851
852
853 static void act101()
854 {
855 NLA = 176;
856 addtoken(0); parsecomment(); zzskip();
857 }
858
859
860 static void act102()
861 {
862 NLA = 177;
863 addtoken(0); zzcomment_depth=1; zzcomment_entry=1; zzcomment_start=zzline; zzmode(COMMENT); zzskip();
864 }
865
866
867 static void act103()
868 {
869 NLA = 178;
870 addtoken(0);
871 mesg("** End of comment when not in a comment on line %d in file '%s'.\n", zzline, zzfilename);
872 zzskip();
873 }
874
875
876 static void act104()
877 {
878 NLA = 198;
879 addtoken(0); zzskip();
880 }
881
882
883 static void act105()
884 {
885 NLA = 199;
886 addtoken(0); zzskip();
887 }
888
889
890 static void act106()
891 {
892 NLA = 200;
893 addtoken(0); if(!do_not_translate) store_define(zztext); zzskip();
894 }
895
896
897 static void act107()
898 {
899 NLA = 201;
900 addtoken(0); if(!do_not_translate) remove_define(zztext); zzskip();
901 }
902
903
904 static void act108()
905 {
906 NLA = 202;
907 addtoken(0); zzskip();
908 }
909
910
911 static void act109()
912 {
913 NLA = 203;
914 addtoken(0); zzskip();
915 }
916
917
918 static void act110()
919 {
920 NLA = 204;
921 addtoken(0); zzskip();
922 }
923
924
925 static void act111()
926 {
927 NLA = 205;
928 addtoken(0); zzskip();
929 }
930
931
932 static void act112()
933 {
934 NLA = 206;
935 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifdef(zztext); zzskip();
936 }
937
938
939 static void act113()
940 {
941 NLA = 207;
942 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_ifndef(zztext); zzskip();
943 }
944
945
946 static void act114()
947 {
948 NLA = 208;
949 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_else(); zzskip();
950 }
951
952
953 static void act115()
954 {
955 NLA = 209;
956 addtoken(0); if(!(do_not_translate&~STMODE_XLATEOFF_IFDEF)) handle_endif(); zzskip();
957 }
958
959
960 static void act116()
961 {
962 NLA = 210;
963 addtoken(0); if(!do_not_translate) handle_include(zztext); zzskip();
964 }
965
966
967 static void act117()
968 {
969 NLA = 211;
970 addtoken(0);
971 if(is_builtin_define(zztext+1, zzrewind-1)) warn("** Warning: ignoring unsupported '%s' directive in file '%s' line %d\n", zztext, zzfilename, zzline);
972 else if(!do_not_translate)
973 warn("** Warning: macro '%s' undefined in file '%s' line %d\n", zztext, zzfilename, zzline);
974 zzskip();
975 }
976
977 static unsigned char shift2[257] = {
978 0, 45, 45, 45, 45, 45, 45, 45, 45, 16,
979 16, 15, 45, 45, 17, 45, 45, 45, 45, 45,
980 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
981 45, 45, 45, 16, 45, 44, 45, 25, 45, 45,
982 45, 40, 41, 13, 45, 45, 14, 39, 43, 7,
983 6, 37, 37, 37, 37, 37, 37, 37, 37, 18,
984 19, 45, 45, 45, 3, 45, 36, 2, 36, 36,
985 36, 12, 36, 36, 36, 36, 36, 36, 36, 12,
986 36, 12, 36, 12, 36, 36, 36, 36, 36, 5,
987 36, 36, 45, 38, 45, 45, 29, 42, 23, 1,
988 31, 21, 20, 9, 35, 35, 28, 35, 35, 24,
989 34, 8, 32, 10, 35, 11, 27, 22, 26, 33,
990 35, 4, 30, 35, 45, 45, 45, 45, 45, 45,
991 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
992 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
993 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
994 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
995 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
996 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
997 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
998 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
999 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
1000 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
1001 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
1002 45, 45, 45, 45, 45, 45, 45, 45, 45, 45,
1003 45, 45, 45, 45, 45, 45, 45
1004 };
1005
1006
1007 static void act118()
1008 {
1009 NLA = V_EOF;
1010 }
1011
1012
1013 static void act119()
1014 {
1015 NLA = 179;
1016 addtoken(0); /* zzcomment_depth++; <=- VERILOG DOES NOT ALLOW NESTED COMMENTS */
1017 zzskip();
1018 }
1019
1020
1021 static void act120()
1022 {
1023 NLA = 180;
1024 addtoken(0); zzcomment_depth--; zzskip(); if(!zzcomment_depth) { zzmode(UDP); }
1025 }
1026
1027
1028 static void act121()
1029 {
1030 NLA = 181;
1031 addtoken(1); zzskip();
1032 }
1033
1034
1035 static void act122()
1036 {
1037 NLA = 182;
1038 addtoken(0); if(zzcomment_entry) { parsecomment(); zzcomment_entry=0; } zzskip();
1039 }
1040
1041
1042 static void act123()
1043 {
1044 NLA = 183;
1045 addtoken(0); zzskip();
1046 }
1047
1048 static unsigned char shift3[257] = {
1049 0, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1050 4, 3, 4, 4, 4, 4, 4, 4, 4, 4,
1051 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1052 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1053 4, 4, 4, 2, 4, 4, 4, 4, 1, 4,
1054 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1055 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1056 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1057 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1058 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1059 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1060 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1061 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1062 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1063 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1064 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1065 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1066 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1067 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1068 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1069 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1070 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1071 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1072 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1073 4, 4, 4, 4, 4, 4, 4, 4, 4, 4,
1074 4, 4, 4, 4, 4, 4, 4
1075 };
1076
1077 #define DfaStates 437
1078 typedef unsigned short DfaState;
1079
1080 static DfaState st0[71] = {
1081 1, 2, 2, 3, 4, 5, 5, 5, 5, 6,
1082 7, 8, 8, 9, 8, 8, 8, 8, 8, 8,
1083 8, 8, 8, 8, 8, 8, 8, 8, 10, 11,
1084 12, 13, 14, 15, 16, 17, 18, 19, 20, 21,
1085 22, 23, 24, 25, 26, 27, 28, 29, 30, 31,
1086 32, 33, 34, 35, 8, 36, 8, 8, 8, 8,
1087 8, 8, 8, 8, 8, 8, 8, 37, 38, 437,
1088 437
1089 };
1090
1091 static DfaState st1[71] = {
1092 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1093 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1094 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1095 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1096 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1097 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1098 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1099 437
1100 };
1101
1102 static DfaState st2[71] = {
1103 437, 2, 2, 437, 437, 437, 437, 437, 437, 437,
1104 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1105 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1106 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1107 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1108 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1109 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1110 437
1111 };
1112
1113 static DfaState st3[71] = {
1114 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1115 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1116 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1117 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1118 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1119 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1120 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1121 437
1122 };
1123
1124 static DfaState st4[71] = {
1125 437, 437, 437, 437, 39, 39, 39, 39, 39, 40,
1126 437, 41, 41, 9, 41, 41, 41, 41, 41, 41,
1127 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
1128 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1129 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1130 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
1131 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
1132 437
1133 };
1134
1135 static DfaState st5[71] = {
1136 437, 437, 437, 437, 5, 5, 5, 5, 5, 42,
1137 437, 437, 437, 9, 437, 437, 437, 437, 437, 437,
1138 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1139 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1140 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1141 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1142 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1143 437
1144 };
1145
1146 static DfaState st6[71] = {
1147 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1148 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1149 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1150 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1151 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1152 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1153 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1154 437
1155 };
1156
1157 static DfaState st7[71] = {
1158 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1159 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1160 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1161 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1162 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1163 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1164 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1165 437
1166 };
1167
1168 static DfaState st8[71] = {
1169 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
1170 437, 41, 41, 437, 41, 41, 41, 41, 41, 41,
1171 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
1172 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1173 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1174 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
1175 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
1176 437
1177 };
1178
1179 static DfaState st9[71] = {
1180 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1181 437, 437, 437, 437, 44, 44, 45, 45, 437, 437,
1182 46, 46, 47, 47, 437, 437, 437, 437, 437, 437,
1183 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1184 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1185 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1186 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1187 437
1188 };
1189
1190 static DfaState st10[71] = {
1191 437, 48, 437, 437, 48, 48, 48, 48, 48, 48,
1192 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1193 48, 48, 48, 48, 48, 48, 48, 48, 49, 48,
1194 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1195 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1196 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1197 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1198 437
1199 };
1200
1201 static DfaState st11[71] = {
1202 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1203 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1204 437, 437, 437, 437, 437, 437, 437, 437, 437, 50,
1205 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1206 437, 51, 437, 437, 437, 437, 437, 437, 437, 437,
1207 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1208 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1209 437
1210 };
1211
1212 static DfaState st12[71] = {
1213 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1214 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1215 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1216 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1217 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1218 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1219 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1220 437
1221 };
1222
1223 static DfaState st13[71] = {
1224 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1225 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1226 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1227 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1228 437, 52, 437, 437, 437, 437, 437, 437, 437, 437,
1229 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1230 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1231 437
1232 };
1233
1234 static DfaState st14[71] = {
1235 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1236 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1237 437, 437, 437, 437, 437, 437, 437, 437, 437, 53,
1238 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1239 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1240 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1241 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1242 437
1243 };
1244
1245 static DfaState st15[71] = {
1246 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1247 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1248 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1249 437, 437, 437, 437, 54, 55, 56, 437, 437, 437,
1250 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1251 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1252 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1253 437
1254 };
1255
1256 static DfaState st16[71] = {
1257 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1258 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1259 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1260 437, 437, 437, 437, 57, 437, 437, 437, 437, 437,
1261 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1262 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1263 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1264 437
1265 };
1266
1267 static DfaState st17[71] = {
1268 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1269 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1270 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1271 437, 437, 437, 437, 437, 58, 437, 437, 437, 437,
1272 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1273 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1274 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1275 437
1276 };
1277
1278 static DfaState st18[71] = {
1279 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1280 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1281 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1282 437, 437, 437, 59, 437, 437, 437, 437, 437, 437,
1283 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1284 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1285 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1286 437
1287 };
1288
1289 static DfaState st19[71] = {
1290 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1291 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1292 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1293 437, 437, 437, 437, 437, 437, 437, 437, 60, 437,
1294 437, 61, 437, 437, 437, 437, 437, 437, 437, 437,
1295 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1296 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1297 437
1298 };
1299
1300 static DfaState st20[71] = {
1301 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1302 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1303 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1304 437, 437, 437, 437, 437, 437, 437, 62, 63, 437,
1305 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1306 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1307 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1308 437
1309 };
1310
1311 static DfaState st21[71] = {
1312 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1313 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1314 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1315 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1316 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1317 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1318 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1319 437
1320 };
1321
1322 static DfaState st22[71] = {
1323 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1324 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1325 437, 437, 437, 437, 437, 437, 437, 437, 437, 64,
1326 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1327 65, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1328 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1329 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1330 437
1331 };
1332
1333 static DfaState st23[71] = {
1334 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1335 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1336 437, 437, 437, 437, 437, 437, 437, 437, 437, 66,
1337 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1338 437, 67, 437, 437, 437, 437, 437, 437, 437, 437,
1339 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1340 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1341 437
1342 };
1343
1344 static DfaState st24[71] = {
1345 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1346 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1347 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1348 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1349 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1350 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1351 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1352 437
1353 };
1354
1355 static DfaState st25[71] = {
1356 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1357 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1358 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1359 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1360 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1361 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1362 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1363 437
1364 };
1365
1366 static DfaState st26[71] = {
1367 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1368 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1369 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1370 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1371 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1372 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1373 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1374 437
1375 };
1376
1377 static DfaState st27[71] = {
1378 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1379 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1380 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1381 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1382 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1383 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1384 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1385 437
1386 };
1387
1388 static DfaState st28[71] = {
1389 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1390 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1391 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1392 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1393 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1394 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1395 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1396 437
1397 };
1398
1399 static DfaState st29[71] = {
1400 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1401 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1402 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1403 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1404 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1405 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1406 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1407 437
1408 };
1409
1410 static DfaState st30[71] = {
1411 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1412 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1413 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1414 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1415 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1416 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1417 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1418 437
1419 };
1420
1421 static DfaState st31[71] = {
1422 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1423 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1424 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1425 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1426 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1427 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1428 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1429 437
1430 };
1431
1432 static DfaState st32[71] = {
1433 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1434 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1435 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1436 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1437 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1438 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1439 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1440 437
1441 };
1442
1443 static DfaState st33[71] = {
1444 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1445 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1446 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1447 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1448 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1449 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1450 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1451 437
1452 };
1453
1454 static DfaState st34[71] = {
1455 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1456 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1457 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1458 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1459 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1460 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1461 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1462 437
1463 };
1464
1465 static DfaState st35[71] = {
1466 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
1467 437, 41, 41, 437, 41, 41, 41, 41, 41, 41,
1468 41, 41, 41, 41, 68, 41, 41, 41, 437, 437,
1469 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1470 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1471 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
1472 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
1473 437
1474 };
1475
1476 static DfaState st36[71] = {
1477 437, 437, 437, 437, 69, 437, 437, 437, 437, 437,
1478 437, 69, 69, 437, 69, 69, 69, 69, 69, 69,
1479 69, 69, 69, 69, 69, 69, 69, 69, 437, 437,
1480 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1481 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1482 437, 437, 437, 69, 69, 437, 69, 69, 69, 69,
1483 69, 69, 69, 69, 69, 69, 69, 437, 437, 437,
1484 437
1485 };
1486
1487 static DfaState st37[71] = {
1488 437, 437, 437, 437, 70, 70, 70, 70, 70, 70,
1489 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1490 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1491 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1492 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1493 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1494 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1495 437
1496 };
1497
1498 static DfaState st38[71] = {
1499 437, 437, 437, 437, 71, 437, 437, 437, 437, 437,
1500 437, 72, 71, 437, 73, 71, 71, 71, 71, 71,
1501 71, 71, 71, 71, 71, 71, 71, 71, 437, 437,
1502 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1503 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1504 437, 437, 437, 74, 71, 437, 75, 71, 76, 77,
1505 71, 71, 78, 71, 71, 71, 71, 437, 437, 437,
1506 437
1507 };
1508
1509 static DfaState st39[71] = {
1510 437, 437, 437, 437, 39, 39, 39, 39, 39, 40,
1511 437, 41, 41, 9, 41, 41, 41, 41, 41, 41,
1512 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
1513 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1514 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1515 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
1516 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
1517 437
1518 };
1519
1520 static DfaState st40[71] = {
1521 437, 437, 437, 437, 79, 80, 80, 80, 80, 437,
1522 437, 81, 81, 437, 81, 81, 81, 81, 81, 81,
1523 81, 81, 81, 81, 81, 81, 81, 81, 437, 437,
1524 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1525 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1526 437, 437, 437, 81, 81, 437, 81, 81, 81, 81,
1527 81, 81, 81, 81, 81, 81, 81, 437, 437, 437,
1528 437
1529 };
1530
1531 static DfaState st41[71] = {
1532 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
1533 437, 41, 41, 437, 41, 41, 41, 41, 41, 41,
1534 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
1535 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1536 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1537 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
1538 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
1539 437
1540 };
1541
1542 static DfaState st42[71] = {
1543 437, 437, 437, 437, 80, 80, 80, 80, 80, 437,
1544 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1545 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1546 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1547 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1548 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1549 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1550 437
1551 };
1552
1553 static DfaState st43[71] = {
1554 437, 437, 437, 437, 81, 437, 437, 437, 437, 437,
1555 437, 81, 81, 437, 81, 81, 81, 81, 81, 81,
1556 81, 81, 81, 81, 81, 81, 81, 81, 437, 437,
1557 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1558 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1559 437, 437, 437, 81, 81, 437, 81, 81, 81, 81,
1560 81, 81, 81, 81, 81, 81, 81, 437, 437, 437,
1561 437
1562 };
1563
1564 static DfaState st44[71] = {
1565 437, 437, 437, 437, 82, 82, 82, 82, 82, 437,
1566 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1567 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1568 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1569 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1570 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1571 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1572 437
1573 };
1574
1575 static DfaState st45[71] = {
1576 437, 437, 437, 437, 83, 83, 83, 437, 437, 437,
1577 83, 437, 437, 437, 437, 437, 437, 437, 83, 83,
1578 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1579 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1580 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1581 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1582 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1583 437
1584 };
1585
1586 static DfaState st46[71] = {
1587 437, 437, 437, 437, 84, 84, 84, 84, 437, 437,
1588 84, 437, 437, 437, 437, 437, 437, 437, 84, 84,
1589 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1590 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1591 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1592 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1593 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1594 437
1595 };
1596
1597 static DfaState st47[71] = {
1598 437, 437, 437, 437, 85, 85, 85, 85, 85, 437,
1599 85, 85, 85, 437, 85, 85, 85, 85, 85, 85,
1600 437, 437, 437, 437, 85, 85, 85, 85, 437, 437,
1601 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1602 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1603 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1604 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1605 437
1606 };
1607
1608 static DfaState st48[71] = {
1609 437, 48, 437, 437, 48, 48, 48, 48, 48, 48,
1610 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1611 48, 48, 48, 48, 48, 48, 48, 48, 49, 48,
1612 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1613 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1614 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1615 48, 48, 48, 48, 48, 48, 48, 48, 48, 48,
1616 437
1617 };
1618
1619 static DfaState st49[71] = {
1620 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1621 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1622 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1623 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1624 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1625 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1626 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1627 437
1628 };
1629
1630 static DfaState st50[71] = {
1631 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1632 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1633 437, 437, 437, 437, 437, 437, 437, 437, 437, 86,
1634 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1635 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1636 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1637 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1638 437
1639 };
1640
1641 static DfaState st51[71] = {
1642 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1643 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1644 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1645 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1646 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1647 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1648 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1649 437
1650 };
1651
1652 static DfaState st52[71] = {
1653 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1654 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1655 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1656 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1657 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1658 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1659 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1660 437
1661 };
1662
1663 static DfaState st53[71] = {
1664 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1665 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1666 437, 437, 437, 437, 437, 437, 437, 437, 437, 87,
1667 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1668 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1669 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1670 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1671 437
1672 };
1673
1674 static DfaState st54[71] = {
1675 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1676 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1677 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1678 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1679 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1680 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1681 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1682 437
1683 };
1684
1685 static DfaState st55[71] = {
1686 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1687 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1688 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1689 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1690 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1691 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1692 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1693 437
1694 };
1695
1696 static DfaState st56[71] = {
1697 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1698 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1699 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1700 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1701 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1702 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1703 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1704 437
1705 };
1706
1707 static DfaState st57[71] = {
1708 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1709 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1710 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1711 437, 437, 437, 437, 88, 437, 437, 437, 437, 437,
1712 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1713 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1714 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1715 437
1716 };
1717
1718 static DfaState st58[71] = {
1719 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1720 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1721 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1722 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1723 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1724 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1725 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1726 437
1727 };
1728
1729 static DfaState st59[71] = {
1730 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1731 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1732 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1733 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1734 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1735 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1736 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1737 437
1738 };
1739
1740 static DfaState st60[71] = {
1741 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1742 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1743 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1744 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1745 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1746 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1747 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1748 437
1749 };
1750
1751 static DfaState st61[71] = {
1752 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1753 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1754 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1755 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1756 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1757 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1758 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1759 437
1760 };
1761
1762 static DfaState st62[71] = {
1763 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1764 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1765 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1766 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1767 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1768 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1769 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1770 437
1771 };
1772
1773 static DfaState st63[71] = {
1774 437, 89, 89, 437, 89, 89, 89, 89, 89, 89,
1775 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
1776 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
1777 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
1778 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
1779 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
1780 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
1781 437
1782 };
1783
1784 static DfaState st64[71] = {
1785 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1786 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1787 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1788 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1789 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1790 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1791 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1792 437
1793 };
1794
1795 static DfaState st65[71] = {
1796 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1797 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1798 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1799 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1800 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1801 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1802 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1803 437
1804 };
1805
1806 static DfaState st66[71] = {
1807 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1808 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1809 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1810 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1811 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1812 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1813 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1814 437
1815 };
1816
1817 static DfaState st67[71] = {
1818 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1819 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1820 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1821 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1822 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1823 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1824 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1825 437
1826 };
1827
1828 static DfaState st68[71] = {
1829 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
1830 437, 41, 41, 437, 41, 41, 90, 41, 41, 41,
1831 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
1832 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1833 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1834 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
1835 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
1836 437
1837 };
1838
1839 static DfaState st69[71] = {
1840 437, 437, 437, 437, 91, 91, 91, 91, 91, 437,
1841 437, 91, 91, 437, 91, 91, 91, 91, 91, 91,
1842 91, 91, 91, 91, 91, 91, 91, 91, 437, 437,
1843 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1844 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1845 437, 437, 437, 91, 91, 91, 91, 91, 91, 91,
1846 91, 91, 91, 91, 91, 91, 91, 437, 437, 437,
1847 437
1848 };
1849
1850 static DfaState st70[71] = {
1851 437, 437, 437, 437, 70, 70, 70, 70, 70, 70,
1852 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1853 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1854 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1855 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1856 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1857 70, 70, 70, 70, 70, 70, 70, 70, 70, 70,
1858 437
1859 };
1860
1861 static DfaState st71[71] = {
1862 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1863 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
1864 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1865 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1866 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1867 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
1868 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1869 437
1870 };
1871
1872 static DfaState st72[71] = {
1873 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1874 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
1875 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1876 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1877 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1878 437, 437, 437, 92, 93, 92, 92, 92, 92, 94,
1879 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1880 437
1881 };
1882
1883 static DfaState st73[71] = {
1884 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1885 437, 95, 92, 437, 92, 92, 92, 92, 92, 92,
1886 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1887 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1888 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1889 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
1890 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1891 437
1892 };
1893
1894 static DfaState st74[71] = {
1895 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1896 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
1897 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1898 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1899 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1900 437, 437, 437, 92, 92, 92, 92, 92, 96, 92,
1901 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1902 437
1903 };
1904
1905 static DfaState st75[71] = {
1906 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1907 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
1908 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1909 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1910 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1911 437, 437, 437, 92, 92, 92, 92, 97, 92, 98,
1912 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1913 437
1914 };
1915
1916 static DfaState st76[71] = {
1917 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1918 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
1919 92, 92, 92, 92, 92, 99, 92, 92, 437, 437,
1920 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1921 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1922 437, 437, 437, 92, 92, 92, 92, 92, 92, 100,
1923 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1924 437
1925 };
1926
1927 static DfaState st77[71] = {
1928 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1929 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
1930 101, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1931 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1932 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1933 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
1934 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1935 437
1936 };
1937
1938 static DfaState st78[71] = {
1939 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
1940 437, 102, 92, 437, 92, 92, 92, 92, 92, 92,
1941 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
1942 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1943 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1944 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
1945 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
1946 437
1947 };
1948
1949 static DfaState st79[71] = {
1950 437, 437, 437, 437, 103, 103, 103, 103, 103, 43,
1951 104, 105, 105, 437, 105, 105, 105, 105, 105, 105,
1952 105, 105, 105, 105, 105, 105, 105, 105, 437, 437,
1953 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1954 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1955 437, 437, 437, 105, 105, 105, 105, 105, 105, 105,
1956 105, 105, 105, 105, 105, 105, 105, 437, 437, 437,
1957 437
1958 };
1959
1960 static DfaState st80[71] = {
1961 437, 437, 437, 437, 80, 80, 80, 80, 80, 437,
1962 104, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1963 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1964 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1965 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1966 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1967 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1968 437
1969 };
1970
1971 static DfaState st81[71] = {
1972 437, 437, 437, 437, 105, 105, 105, 105, 105, 43,
1973 437, 105, 105, 437, 105, 105, 105, 105, 105, 105,
1974 105, 105, 105, 105, 105, 105, 105, 105, 437, 437,
1975 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1976 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1977 437, 437, 437, 105, 105, 105, 105, 105, 105, 105,
1978 105, 105, 105, 105, 105, 105, 105, 437, 437, 437,
1979 437
1980 };
1981
1982 static DfaState st82[71] = {
1983 437, 437, 437, 437, 82, 82, 82, 82, 82, 437,
1984 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1985 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1986 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1987 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1988 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1989 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1990 437
1991 };
1992
1993 static DfaState st83[71] = {
1994 437, 437, 437, 437, 83, 83, 83, 437, 437, 437,
1995 83, 437, 437, 437, 437, 437, 437, 437, 83, 83,
1996 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1997 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1998 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
1999 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2000 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2001 437
2002 };
2003
2004 static DfaState st84[71] = {
2005 437, 437, 437, 437, 84, 84, 84, 84, 437, 437,
2006 84, 437, 437, 437, 437, 437, 437, 437, 84, 84,
2007 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2008 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2009 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2010 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2011 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2012 437
2013 };
2014
2015 static DfaState st85[71] = {
2016 437, 437, 437, 437, 85, 85, 85, 85, 85, 437,
2017 85, 85, 85, 437, 85, 85, 85, 85, 85, 85,
2018 437, 437, 437, 437, 85, 85, 85, 85, 437, 437,
2019 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2020 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2021 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2022 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2023 437
2024 };
2025
2026 static DfaState st86[71] = {
2027 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2028 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2029 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2030 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2031 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2032 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2033 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2034 437
2035 };
2036
2037 static DfaState st87[71] = {
2038 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2039 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2040 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2041 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2042 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2043 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2044 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2045 437
2046 };
2047
2048 static DfaState st88[71] = {
2049 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2050 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2051 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2052 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2053 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2054 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2055 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2056 437
2057 };
2058
2059 static DfaState st89[71] = {
2060 437, 89, 89, 437, 89, 89, 89, 89, 89, 89,
2061 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
2062 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
2063 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
2064 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
2065 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
2066 89, 89, 89, 89, 89, 89, 89, 89, 89, 89,
2067 437
2068 };
2069
2070 static DfaState st90[71] = {
2071 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
2072 437, 41, 41, 437, 41, 41, 41, 41, 41, 41,
2073 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
2074 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2075 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2076 437, 437, 437, 41, 106, 41, 41, 41, 41, 41,
2077 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
2078 437
2079 };
2080
2081 static DfaState st91[71] = {
2082 437, 437, 437, 437, 91, 91, 91, 91, 91, 437,
2083 437, 91, 91, 437, 91, 91, 91, 91, 91, 91,
2084 91, 91, 91, 91, 91, 91, 91, 91, 437, 437,
2085 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2086 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2087 437, 437, 437, 91, 91, 91, 91, 91, 91, 91,
2088 91, 91, 91, 91, 91, 91, 91, 437, 437, 437,
2089 437
2090 };
2091
2092 static DfaState st92[71] = {
2093 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2094 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2095 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2096 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2097 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2098 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2099 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2100 437
2101 };
2102
2103 static DfaState st93[71] = {
2104 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2105 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2106 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2107 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2108 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2109 437, 437, 437, 92, 92, 92, 92, 107, 92, 92,
2110 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2111 437
2112 };
2113
2114 static DfaState st94[71] = {
2115 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2116 437, 92, 92, 437, 108, 92, 92, 92, 92, 92,
2117 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2118 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2119 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2120 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2121 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2122 437
2123 };
2124
2125 static DfaState st95[71] = {
2126 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2127 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2128 92, 92, 92, 92, 92, 109, 92, 92, 437, 437,
2129 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2130 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2131 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2132 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2133 437
2134 };
2135
2136 static DfaState st96[71] = {
2137 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2138 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2139 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2140 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2141 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2142 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2143 92, 92, 92, 92, 110, 92, 92, 437, 437, 437,
2144 437
2145 };
2146
2147 static DfaState st97[71] = {
2148 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2149 437, 111, 92, 437, 92, 92, 92, 92, 92, 92,
2150 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2151 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2152 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2153 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2154 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2155 437
2156 };
2157
2158 static DfaState st98[71] = {
2159 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2160 437, 92, 92, 437, 112, 92, 92, 92, 92, 92,
2161 92, 92, 92, 92, 92, 92, 113, 92, 437, 437,
2162 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2163 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2164 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2165 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2166 437
2167 };
2168
2169 static DfaState st99[71] = {
2170 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2171 437, 92, 92, 437, 114, 92, 92, 92, 92, 92,
2172 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2173 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2174 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2175 437, 437, 437, 92, 92, 92, 92, 92, 92, 115,
2176 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2177 437
2178 };
2179
2180 static DfaState st100[71] = {
2181 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2182 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2183 92, 92, 92, 92, 92, 92, 116, 92, 437, 437,
2184 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2185 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2186 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2187 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2188 437
2189 };
2190
2191 static DfaState st101[71] = {
2192 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2193 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2194 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2195 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2196 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2197 437, 437, 437, 92, 92, 92, 117, 92, 92, 92,
2198 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2199 437
2200 };
2201
2202 static DfaState st102[71] = {
2203 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2204 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2205 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2206 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2207 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2208 437, 437, 437, 92, 92, 92, 92, 118, 92, 92,
2209 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2210 437
2211 };
2212
2213 static DfaState st103[71] = {
2214 437, 437, 437, 437, 103, 103, 103, 103, 103, 43,
2215 104, 105, 105, 437, 105, 105, 105, 105, 105, 105,
2216 105, 105, 105, 105, 105, 105, 105, 105, 437, 437,
2217 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2218 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2219 437, 437, 437, 105, 105, 105, 105, 105, 105, 105,
2220 105, 105, 105, 105, 105, 105, 105, 437, 437, 437,
2221 437
2222 };
2223
2224 static DfaState st104[71] = {
2225 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2226 437, 119, 119, 437, 437, 437, 437, 437, 437, 437,
2227 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2228 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2229 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2230 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2231 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2232 437
2233 };
2234
2235 static DfaState st105[71] = {
2236 437, 437, 437, 437, 105, 105, 105, 105, 105, 43,
2237 437, 105, 105, 437, 105, 105, 105, 105, 105, 105,
2238 105, 105, 105, 105, 105, 105, 105, 105, 437, 437,
2239 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2240 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2241 437, 437, 437, 105, 105, 105, 105, 105, 105, 105,
2242 105, 105, 105, 105, 105, 105, 105, 437, 437, 437,
2243 437
2244 };
2245
2246 static DfaState st106[71] = {
2247 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
2248 437, 120, 41, 437, 41, 41, 41, 41, 41, 41,
2249 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
2250 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2251 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2252 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
2253 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
2254 437
2255 };
2256
2257 static DfaState st107[71] = {
2258 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2259 437, 121, 92, 437, 92, 92, 92, 92, 92, 92,
2260 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2261 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2262 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2263 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2264 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2265 437
2266 };
2267
2268 static DfaState st108[71] = {
2269 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2270 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2271 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2272 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2273 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2274 437, 437, 437, 92, 92, 92, 92, 92, 122, 92,
2275 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2276 437
2277 };
2278
2279 static DfaState st109[71] = {
2280 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2281 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2282 92, 92, 92, 92, 123, 92, 92, 92, 437, 437,
2283 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2284 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2285 437, 437, 437, 92, 92, 92, 92, 92, 124, 92,
2286 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2287 437
2288 };
2289
2290 static DfaState st110[71] = {
2291 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2292 437, 125, 92, 437, 92, 92, 92, 92, 92, 92,
2293 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2294 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2295 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2296 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2297 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2298 437
2299 };
2300
2301 static DfaState st111[71] = {
2302 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2303 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2304 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2305 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2306 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2307 437, 437, 437, 92, 126, 92, 92, 92, 92, 92,
2308 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2309 437
2310 };
2311
2312 static DfaState st112[71] = {
2313 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2314 437, 127, 92, 437, 92, 92, 92, 92, 92, 92,
2315 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2316 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2317 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2318 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2319 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2320 437
2321 };
2322
2323 static DfaState st113[71] = {
2324 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2325 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2326 128, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2327 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2328 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2329 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2330 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2331 437
2332 };
2333
2334 static DfaState st114[71] = {
2335 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2336 437, 129, 92, 437, 92, 92, 92, 92, 92, 92,
2337 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2338 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2339 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2340 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2341 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2342 437
2343 };
2344
2345 static DfaState st115[71] = {
2346 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2347 437, 92, 92, 437, 130, 92, 92, 92, 92, 92,
2348 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2349 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2350 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2351 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2352 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2353 437
2354 };
2355
2356 static DfaState st116[71] = {
2357 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2358 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2359 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2360 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2361 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2362 437, 437, 437, 92, 131, 92, 92, 92, 92, 92,
2363 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2364 437
2365 };
2366
2367 static DfaState st117[71] = {
2368 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2369 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2370 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2371 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2372 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2373 437, 437, 437, 92, 92, 92, 92, 92, 92, 132,
2374 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2375 437
2376 };
2377
2378 static DfaState st118[71] = {
2379 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2380 437, 133, 92, 437, 92, 92, 92, 92, 92, 92,
2381 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2382 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2383 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2384 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2385 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2386 437
2387 };
2388
2389 static DfaState st119[71] = {
2390 437, 437, 437, 437, 134, 134, 134, 134, 134, 437,
2391 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2392 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2393 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2394 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2395 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2396 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2397 437
2398 };
2399
2400 static DfaState st120[71] = {
2401 437, 437, 437, 437, 41, 41, 41, 41, 41, 43,
2402 437, 41, 41, 437, 41, 41, 41, 41, 41, 41,
2403 41, 41, 41, 41, 41, 41, 41, 41, 437, 437,
2404 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2405 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2406 437, 437, 437, 41, 41, 41, 41, 41, 41, 41,
2407 41, 41, 41, 41, 41, 41, 41, 437, 437, 437,
2408 437
2409 };
2410
2411 static DfaState st121[71] = {
2412 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2413 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2414 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2415 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2416 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2417 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2418 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2419 437
2420 };
2421
2422 static DfaState st122[71] = {
2423 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2424 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2425 92, 92, 92, 92, 92, 135, 92, 92, 437, 437,
2426 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2427 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2428 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2429 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2430 437
2431 };
2432
2433 static DfaState st123[71] = {
2434 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2435 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2436 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2437 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2438 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2439 437, 437, 437, 92, 92, 92, 136, 92, 92, 92,
2440 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2441 437
2442 };
2443
2444 static DfaState st124[71] = {
2445 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2446 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2447 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2448 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2449 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2450 437, 437, 437, 92, 92, 92, 92, 92, 92, 137,
2451 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2452 437
2453 };
2454
2455 static DfaState st125[71] = {
2456 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2457 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2458 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2459 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2460 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2461 437, 437, 437, 92, 92, 92, 92, 138, 92, 92,
2462 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2463 437
2464 };
2465
2466 static DfaState st126[71] = {
2467 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2468 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2469 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2470 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2471 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2472 437, 437, 437, 92, 92, 92, 92, 92, 139, 92,
2473 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2474 437
2475 };
2476
2477 static DfaState st127[71] = {
2478 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2479 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2480 92, 92, 92, 92, 92, 140, 92, 92, 437, 437,
2481 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2482 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2483 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2484 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2485 437
2486 };
2487
2488 static DfaState st128[71] = {
2489 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2490 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2491 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2492 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2493 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2494 437, 437, 437, 92, 92, 92, 92, 92, 92, 141,
2495 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2496 437
2497 };
2498
2499 static DfaState st129[71] = {
2500 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2501 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2502 92, 92, 92, 92, 92, 142, 92, 92, 437, 437,
2503 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2504 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2505 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2506 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2507 437
2508 };
2509
2510 static DfaState st130[71] = {
2511 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2512 437, 143, 92, 437, 92, 92, 92, 92, 92, 92,
2513 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2514 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2515 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2516 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2517 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2518 437
2519 };
2520
2521 static DfaState st131[71] = {
2522 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2523 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2524 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2525 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2526 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2527 437, 437, 437, 92, 92, 92, 144, 92, 92, 92,
2528 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2529 437
2530 };
2531
2532 static DfaState st132[71] = {
2533 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2534 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2535 92, 92, 92, 92, 92, 92, 145, 92, 437, 437,
2536 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2537 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2538 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2539 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2540 437
2541 };
2542
2543 static DfaState st133[71] = {
2544 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2545 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2546 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2547 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2548 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2549 437, 437, 437, 146, 92, 92, 92, 92, 92, 92,
2550 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2551 437
2552 };
2553
2554 static DfaState st134[71] = {
2555 437, 437, 437, 437, 134, 134, 134, 134, 134, 437,
2556 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2557 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2558 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2559 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2560 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2561 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2562 437
2563 };
2564
2565 static DfaState st135[71] = {
2566 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2567 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2568 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2569 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2570 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2571 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2572 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2573 437
2574 };
2575
2576 static DfaState st136[71] = {
2577 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2578 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2579 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2580 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2581 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2582 437, 437, 437, 92, 147, 92, 92, 92, 92, 92,
2583 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2584 437
2585 };
2586
2587 static DfaState st137[71] = {
2588 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2589 437, 148, 92, 437, 92, 92, 92, 92, 92, 92,
2590 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2591 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2592 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2593 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2594 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2595 437
2596 };
2597
2598 static DfaState st138[71] = {
2599 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2600 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2601 92, 92, 92, 92, 92, 92, 149, 92, 437, 437,
2602 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2603 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2604 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2605 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2606 437
2607 };
2608
2609 static DfaState st139[71] = {
2610 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2611 437, 92, 92, 437, 92, 92, 150, 92, 92, 92,
2612 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2613 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2614 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2615 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2616 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2617 437
2618 };
2619
2620 static DfaState st140[71] = {
2621 437, 151, 437, 437, 92, 92, 92, 92, 92, 437,
2622 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2623 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2624 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2625 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2626 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2627 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2628 437
2629 };
2630
2631 static DfaState st141[71] = {
2632 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2633 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2634 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2635 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2636 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2637 437, 437, 437, 92, 92, 92, 92, 92, 92, 152,
2638 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2639 437
2640 };
2641
2642 static DfaState st142[71] = {
2643 437, 153, 437, 437, 92, 92, 92, 92, 92, 437,
2644 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2645 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2646 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2647 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2648 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2649 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2650 437
2651 };
2652
2653 static DfaState st143[71] = {
2654 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2655 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2656 92, 92, 92, 92, 92, 154, 92, 92, 437, 437,
2657 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2658 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2659 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2660 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2661 437
2662 };
2663
2664 static DfaState st144[71] = {
2665 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2666 437, 92, 92, 437, 155, 92, 92, 92, 92, 92,
2667 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2668 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2669 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2670 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2671 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2672 437
2673 };
2674
2675 static DfaState st145[71] = {
2676 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2677 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2678 156, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2679 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2680 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2681 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2682 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2683 437
2684 };
2685
2686 static DfaState st146[71] = {
2687 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2688 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2689 92, 92, 92, 92, 157, 92, 92, 92, 437, 437,
2690 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2691 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2692 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2693 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2694 437
2695 };
2696
2697 static DfaState st147[71] = {
2698 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2699 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2700 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2701 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2702 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2703 437, 437, 437, 158, 92, 92, 92, 92, 92, 92,
2704 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2705 437
2706 };
2707
2708 static DfaState st148[71] = {
2709 437, 159, 159, 437, 160, 160, 160, 160, 160, 159,
2710 159, 160, 160, 159, 160, 160, 160, 160, 160, 160,
2711 160, 160, 160, 160, 160, 160, 160, 160, 159, 159,
2712 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2713 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2714 159, 159, 159, 160, 160, 160, 160, 160, 160, 160,
2715 160, 160, 160, 160, 160, 160, 160, 159, 159, 159,
2716 437
2717 };
2718
2719 static DfaState st149[71] = {
2720 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2721 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2722 92, 92, 92, 92, 161, 92, 92, 92, 437, 437,
2723 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2724 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2725 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2726 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2727 437
2728 };
2729
2730 static DfaState st150[71] = {
2731 437, 162, 162, 437, 163, 163, 163, 163, 163, 162,
2732 162, 163, 163, 162, 163, 163, 163, 163, 163, 163,
2733 163, 163, 163, 163, 163, 163, 163, 163, 162, 162,
2734 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2735 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2736 162, 162, 162, 163, 163, 163, 163, 163, 163, 163,
2737 163, 163, 163, 163, 163, 163, 163, 162, 162, 162,
2738 437
2739 };
2740
2741 static DfaState st151[71] = {
2742 437, 151, 437, 437, 164, 437, 437, 437, 437, 437,
2743 437, 164, 164, 437, 164, 164, 164, 164, 164, 164,
2744 164, 164, 164, 164, 164, 164, 164, 164, 437, 437,
2745 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2746 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2747 437, 437, 437, 164, 164, 437, 164, 164, 164, 164,
2748 164, 164, 164, 164, 164, 164, 164, 437, 437, 437,
2749 437
2750 };
2751
2752 static DfaState st152[71] = {
2753 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2754 437, 165, 92, 437, 92, 92, 92, 92, 92, 92,
2755 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2756 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2757 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2758 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2759 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2760 437
2761 };
2762
2763 static DfaState st153[71] = {
2764 437, 153, 437, 437, 166, 437, 437, 437, 437, 437,
2765 437, 166, 166, 437, 166, 166, 166, 166, 166, 166,
2766 166, 166, 166, 166, 166, 166, 166, 166, 437, 437,
2767 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2768 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2769 437, 437, 437, 166, 166, 437, 166, 166, 166, 166,
2770 166, 166, 166, 166, 166, 166, 166, 437, 437, 437,
2771 437
2772 };
2773
2774 static DfaState st154[71] = {
2775 437, 167, 437, 437, 92, 92, 92, 92, 92, 437,
2776 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2777 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2778 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2779 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2780 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2781 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2782 437
2783 };
2784
2785 static DfaState st155[71] = {
2786 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2787 437, 168, 92, 437, 92, 92, 92, 92, 92, 92,
2788 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2789 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2790 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2791 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2792 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2793 437
2794 };
2795
2796 static DfaState st156[71] = {
2797 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2798 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2799 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2800 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2801 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2802 437, 437, 437, 92, 92, 92, 92, 92, 92, 169,
2803 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2804 437
2805 };
2806
2807 static DfaState st157[71] = {
2808 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2809 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2810 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2811 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2812 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2813 437, 437, 437, 92, 170, 92, 92, 92, 92, 92,
2814 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2815 437
2816 };
2817
2818 static DfaState st158[71] = {
2819 437, 437, 437, 437, 171, 92, 92, 92, 92, 437,
2820 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2821 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2822 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2823 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2824 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2825 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2826 437
2827 };
2828
2829 static DfaState st159[71] = {
2830 437, 159, 159, 437, 159, 159, 159, 159, 159, 159,
2831 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2832 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2833 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2834 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2835 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2836 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2837 437
2838 };
2839
2840 static DfaState st160[71] = {
2841 437, 159, 159, 437, 160, 160, 160, 160, 160, 159,
2842 159, 160, 160, 159, 160, 160, 160, 160, 160, 160,
2843 160, 160, 160, 160, 160, 160, 160, 160, 159, 159,
2844 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2845 159, 159, 159, 159, 159, 159, 159, 159, 159, 159,
2846 159, 159, 159, 160, 160, 160, 160, 160, 160, 160,
2847 160, 160, 160, 160, 160, 160, 160, 159, 159, 159,
2848 437
2849 };
2850
2851 static DfaState st161[71] = {
2852 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2853 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2854 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2855 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2856 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2857 437, 437, 437, 92, 172, 92, 92, 92, 92, 92,
2858 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2859 437
2860 };
2861
2862 static DfaState st162[71] = {
2863 437, 162, 162, 437, 162, 162, 162, 162, 162, 162,
2864 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2865 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2866 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2867 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2868 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2869 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2870 437
2871 };
2872
2873 static DfaState st163[71] = {
2874 437, 162, 162, 437, 163, 163, 163, 163, 163, 162,
2875 162, 163, 163, 162, 163, 163, 163, 163, 163, 163,
2876 163, 163, 163, 163, 163, 163, 163, 163, 162, 162,
2877 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2878 162, 162, 162, 162, 162, 162, 162, 162, 162, 162,
2879 162, 162, 162, 163, 163, 163, 163, 163, 163, 163,
2880 163, 163, 163, 163, 163, 163, 163, 162, 162, 162,
2881 437
2882 };
2883
2884 static DfaState st164[71] = {
2885 437, 437, 437, 437, 173, 173, 173, 173, 173, 437,
2886 437, 173, 173, 437, 173, 173, 173, 173, 173, 173,
2887 173, 173, 173, 173, 173, 173, 173, 173, 437, 437,
2888 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2889 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2890 437, 437, 437, 173, 173, 173, 173, 173, 173, 173,
2891 173, 173, 173, 173, 173, 173, 173, 437, 437, 437,
2892 437
2893 };
2894
2895 static DfaState st165[71] = {
2896 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2897 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2898 92, 92, 92, 92, 92, 92, 174, 92, 437, 437,
2899 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2900 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2901 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2902 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2903 437
2904 };
2905
2906 static DfaState st166[71] = {
2907 437, 437, 437, 437, 175, 175, 175, 175, 175, 437,
2908 437, 175, 175, 437, 175, 175, 175, 175, 175, 175,
2909 175, 175, 175, 175, 175, 175, 175, 175, 437, 437,
2910 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2911 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2912 437, 437, 437, 175, 175, 175, 175, 175, 175, 175,
2913 175, 175, 175, 175, 175, 175, 175, 437, 437, 437,
2914 437
2915 };
2916
2917 static DfaState st167[71] = {
2918 437, 167, 437, 437, 176, 437, 437, 437, 437, 437,
2919 437, 176, 176, 437, 176, 176, 176, 176, 176, 176,
2920 176, 176, 176, 176, 176, 176, 176, 176, 437, 437,
2921 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2922 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2923 437, 437, 437, 176, 176, 437, 176, 176, 176, 176,
2924 176, 176, 176, 176, 176, 176, 176, 437, 437, 437,
2925 437
2926 };
2927
2928 static DfaState st168[71] = {
2929 437, 177, 437, 437, 92, 92, 92, 92, 92, 437,
2930 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2931 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2932 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2933 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2934 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2935 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2936 437
2937 };
2938
2939 static DfaState st169[71] = {
2940 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2941 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2942 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2943 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2944 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2945 437, 437, 437, 92, 92, 92, 92, 92, 92, 178,
2946 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2947 437
2948 };
2949
2950 static DfaState st170[71] = {
2951 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2952 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2953 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2954 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2955 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2956 437, 437, 437, 92, 179, 92, 92, 92, 92, 92,
2957 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2958 437
2959 };
2960
2961 static DfaState st171[71] = {
2962 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2963 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2964 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2965 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2966 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2967 437, 437, 437, 92, 92, 92, 92, 92, 92, 180,
2968 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2969 437
2970 };
2971
2972 static DfaState st172[71] = {
2973 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2974 437, 181, 92, 437, 92, 92, 92, 92, 92, 92,
2975 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2976 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2977 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2978 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
2979 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
2980 437
2981 };
2982
2983 static DfaState st173[71] = {
2984 437, 437, 437, 437, 173, 173, 173, 173, 173, 437,
2985 437, 173, 173, 437, 173, 173, 173, 173, 173, 173,
2986 173, 173, 173, 173, 173, 173, 173, 173, 437, 437,
2987 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2988 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2989 437, 437, 437, 173, 173, 173, 173, 173, 173, 173,
2990 173, 173, 173, 173, 173, 173, 173, 437, 437, 437,
2991 437
2992 };
2993
2994 static DfaState st174[71] = {
2995 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
2996 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
2997 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
2998 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
2999 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3000 437, 437, 437, 182, 92, 92, 92, 92, 92, 92,
3001 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3002 437
3003 };
3004
3005 static DfaState st175[71] = {
3006 437, 437, 437, 437, 175, 175, 175, 175, 175, 437,
3007 437, 175, 175, 437, 175, 175, 175, 175, 175, 175,
3008 175, 175, 175, 175, 175, 175, 175, 175, 437, 437,
3009 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3010 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3011 437, 437, 437, 175, 175, 175, 175, 175, 175, 175,
3012 175, 175, 175, 175, 175, 175, 175, 437, 437, 437,
3013 437
3014 };
3015
3016 static DfaState st176[71] = {
3017 437, 437, 437, 437, 183, 183, 183, 183, 183, 437,
3018 437, 183, 183, 437, 183, 183, 183, 183, 183, 183,
3019 183, 183, 183, 183, 183, 183, 183, 183, 437, 437,
3020 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3021 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3022 437, 437, 437, 183, 183, 183, 183, 183, 183, 183,
3023 183, 183, 183, 183, 183, 183, 183, 437, 437, 437,
3024 437
3025 };
3026
3027 static DfaState st177[71] = {
3028 437, 177, 437, 437, 437, 437, 437, 437, 437, 437,
3029 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3030 437, 437, 437, 437, 437, 437, 437, 437, 184, 437,
3031 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3032 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3033 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3034 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3035 437
3036 };
3037
3038 static DfaState st178[71] = {
3039 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3040 437, 185, 92, 437, 92, 92, 92, 92, 92, 92,
3041 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3042 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3043 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3044 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3045 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3046 437
3047 };
3048
3049 static DfaState st179[71] = {
3050 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3051 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3052 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3053 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3054 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3055 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3056 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3057 437
3058 };
3059
3060 static DfaState st180[71] = {
3061 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3062 437, 186, 92, 437, 92, 92, 92, 92, 92, 92,
3063 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3064 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3065 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3066 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3067 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3068 437
3069 };
3070
3071 static DfaState st181[71] = {
3072 437, 187, 437, 437, 92, 92, 92, 92, 92, 437,
3073 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3074 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3075 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3076 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3077 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3078 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3079 437
3080 };
3081
3082 static DfaState st182[71] = {
3083 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3084 437, 188, 92, 437, 92, 92, 92, 92, 92, 92,
3085 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3086 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3087 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3088 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3089 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3090 437
3091 };
3092
3093 static DfaState st183[71] = {
3094 437, 437, 437, 437, 183, 183, 183, 183, 183, 437,
3095 437, 183, 183, 437, 183, 183, 183, 183, 183, 183,
3096 183, 183, 183, 183, 183, 183, 183, 183, 437, 437,
3097 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3098 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3099 437, 437, 437, 183, 183, 183, 183, 183, 183, 183,
3100 183, 183, 183, 183, 183, 183, 183, 437, 437, 437,
3101 437
3102 };
3103
3104 static DfaState st184[71] = {
3105 437, 189, 189, 437, 189, 189, 189, 189, 189, 189,
3106 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3107 189, 189, 189, 189, 189, 189, 189, 189, 190, 189,
3108 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3109 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3110 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3111 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3112 437
3113 };
3114
3115 static DfaState st185[71] = {
3116 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3117 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3118 92, 92, 92, 92, 92, 92, 191, 92, 437, 437,
3119 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3120 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3121 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3122 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3123 437
3124 };
3125
3126 static DfaState st186[71] = {
3127 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3128 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3129 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3130 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3131 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3132 437, 437, 437, 192, 92, 92, 92, 92, 92, 92,
3133 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3134 437
3135 };
3136
3137 static DfaState st187[71] = {
3138 437, 187, 437, 437, 437, 193, 437, 437, 437, 437,
3139 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3140 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3141 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3142 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3143 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3144 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3145 437
3146 };
3147
3148 static DfaState st188[71] = {
3149 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3150 437, 92, 92, 437, 194, 92, 92, 92, 92, 92,
3151 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3152 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3153 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3154 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3155 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3156 437
3157 };
3158
3159 static DfaState st189[71] = {
3160 437, 189, 189, 437, 189, 189, 189, 189, 189, 189,
3161 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3162 189, 189, 189, 189, 189, 189, 189, 189, 190, 189,
3163 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3164 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3165 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3166 189, 189, 189, 189, 189, 189, 189, 189, 189, 189,
3167 437
3168 };
3169
3170 static DfaState st190[71] = {
3171 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3172 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3173 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3174 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3175 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3176 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3177 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3178 437
3179 };
3180
3181 static DfaState st191[71] = {
3182 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3183 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3184 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3185 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3186 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3187 437, 437, 437, 195, 92, 92, 92, 92, 92, 92,
3188 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3189 437
3190 };
3191
3192 static DfaState st192[71] = {
3193 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3194 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3195 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3196 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3197 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3198 437, 437, 437, 196, 92, 92, 92, 92, 92, 92,
3199 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3200 437
3201 };
3202
3203 static DfaState st193[71] = {
3204 437, 197, 437, 437, 437, 437, 198, 437, 437, 437,
3205 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3206 437, 437, 437, 437, 437, 199, 437, 437, 437, 437,
3207 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3208 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3209 437, 437, 437, 437, 437, 437, 199, 200, 437, 199,
3210 437, 199, 437, 437, 199, 437, 437, 437, 437, 437,
3211 437
3212 };
3213
3214 static DfaState st194[71] = {
3215 437, 437, 437, 437, 201, 92, 92, 92, 92, 437,
3216 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3217 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3218 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3219 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3220 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3221 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3222 437
3223 };
3224
3225 static DfaState st195[71] = {
3226 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3227 437, 202, 92, 437, 92, 92, 92, 92, 92, 92,
3228 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3229 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3230 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3231 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3232 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3233 437
3234 };
3235
3236 static DfaState st196[71] = {
3237 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3238 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3239 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3240 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3241 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3242 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3243 203, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3244 437
3245 };
3246
3247 static DfaState st197[71] = {
3248 437, 197, 437, 437, 437, 437, 437, 437, 437, 437,
3249 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3250 437, 437, 437, 437, 437, 199, 437, 437, 437, 437,
3251 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3252 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3253 437, 437, 437, 437, 437, 437, 199, 200, 437, 199,
3254 437, 199, 437, 437, 199, 437, 437, 437, 437, 437,
3255 437
3256 };
3257
3258 static DfaState st198[71] = {
3259 437, 197, 437, 437, 437, 437, 204, 437, 437, 437,
3260 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3261 437, 437, 437, 437, 437, 199, 437, 437, 437, 437,
3262 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3263 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3264 437, 437, 437, 437, 437, 437, 199, 200, 437, 199,
3265 437, 199, 437, 437, 199, 437, 437, 437, 437, 437,
3266 437
3267 };
3268
3269 static DfaState st199[71] = {
3270 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3271 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3272 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3273 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3274 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3275 437, 437, 437, 437, 437, 437, 437, 200, 437, 437,
3276 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3277 437
3278 };
3279
3280 static DfaState st200[71] = {
3281 437, 205, 437, 437, 437, 437, 437, 437, 437, 437,
3282 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3283 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3284 437, 437, 437, 437, 437, 437, 437, 437, 206, 437,
3285 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3286 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3287 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3288 437
3289 };
3290
3291 static DfaState st201[71] = {
3292 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3293 437, 92, 92, 437, 207, 92, 92, 92, 92, 92,
3294 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3295 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3296 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3297 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3298 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3299 437
3300 };
3301
3302 static DfaState st202[71] = {
3303 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3304 437, 92, 92, 437, 208, 92, 92, 92, 92, 92,
3305 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3306 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3307 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3308 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3309 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3310 437
3311 };
3312
3313 static DfaState st203[71] = {
3314 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3315 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3316 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3317 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3318 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3319 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3320 92, 209, 92, 92, 92, 92, 92, 437, 437, 437,
3321 437
3322 };
3323
3324 static DfaState st204[71] = {
3325 437, 197, 437, 437, 437, 437, 437, 437, 437, 437,
3326 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3327 437, 437, 437, 437, 437, 199, 437, 437, 437, 437,
3328 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3329 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3330 437, 437, 437, 437, 437, 437, 199, 200, 437, 199,
3331 437, 199, 437, 437, 199, 437, 437, 437, 437, 437,
3332 437
3333 };
3334
3335 static DfaState st205[71] = {
3336 437, 205, 437, 437, 437, 437, 437, 437, 437, 437,
3337 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3338 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3339 437, 437, 437, 437, 437, 437, 437, 437, 206, 437,
3340 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3341 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3342 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3343 437
3344 };
3345
3346 static DfaState st206[71] = {
3347 437, 210, 437, 437, 437, 211, 437, 437, 437, 437,
3348 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3349 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3350 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3351 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3352 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3353 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3354 437
3355 };
3356
3357 static DfaState st207[71] = {
3358 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3359 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3360 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3361 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3362 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3363 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3364 92, 92, 212, 92, 92, 92, 92, 437, 437, 437,
3365 437
3366 };
3367
3368 static DfaState st208[71] = {
3369 437, 437, 437, 437, 213, 92, 92, 92, 92, 437,
3370 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3371 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3372 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3373 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3374 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3375 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3376 437
3377 };
3378
3379 static DfaState st209[71] = {
3380 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3381 437, 214, 92, 437, 92, 92, 92, 92, 92, 92,
3382 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3383 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3384 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3385 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3386 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3387 437
3388 };
3389
3390 static DfaState st210[71] = {
3391 437, 210, 437, 437, 437, 211, 437, 437, 437, 437,
3392 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3393 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3394 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3395 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3396 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3397 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3398 437
3399 };
3400
3401 static DfaState st211[71] = {
3402 437, 215, 437, 437, 437, 437, 216, 437, 437, 437,
3403 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3404 437, 437, 437, 437, 437, 217, 437, 437, 437, 437,
3405 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3406 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3407 437, 437, 437, 437, 437, 437, 217, 218, 437, 217,
3408 437, 217, 437, 437, 217, 437, 437, 437, 437, 437,
3409 437
3410 };
3411
3412 static DfaState st212[71] = {
3413 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3414 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3415 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3416 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3417 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3418 437, 437, 437, 92, 92, 92, 92, 92, 219, 92,
3419 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3420 437
3421 };
3422
3423 static DfaState st213[71] = {
3424 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3425 437, 92, 92, 437, 220, 92, 92, 92, 92, 92,
3426 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3427 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3428 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3429 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3430 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3431 437
3432 };
3433
3434 static DfaState st214[71] = {
3435 437, 221, 437, 437, 92, 92, 92, 92, 92, 437,
3436 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3437 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3438 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3439 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3440 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3441 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3442 437
3443 };
3444
3445 static DfaState st215[71] = {
3446 437, 215, 437, 437, 437, 437, 437, 437, 437, 437,
3447 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3448 437, 437, 437, 437, 437, 217, 437, 437, 437, 437,
3449 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3450 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3451 437, 437, 437, 437, 437, 437, 217, 218, 437, 217,
3452 437, 217, 437, 437, 217, 437, 437, 437, 437, 437,
3453 437
3454 };
3455
3456 static DfaState st216[71] = {
3457 437, 215, 437, 437, 437, 437, 222, 437, 437, 437,
3458 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3459 437, 437, 437, 437, 437, 217, 437, 437, 437, 437,
3460 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3461 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3462 437, 437, 437, 437, 437, 437, 217, 218, 437, 217,
3463 437, 217, 437, 437, 217, 437, 437, 437, 437, 437,
3464 437
3465 };
3466
3467 static DfaState st217[71] = {
3468 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3469 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3470 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3471 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3472 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3473 437, 437, 437, 437, 437, 437, 437, 218, 437, 437,
3474 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3475 437
3476 };
3477
3478 static DfaState st218[71] = {
3479 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3480 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3481 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3482 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3483 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3484 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3485 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3486 437
3487 };
3488
3489 static DfaState st219[71] = {
3490 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3491 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3492 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3493 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3494 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3495 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3496 92, 92, 92, 223, 92, 92, 92, 437, 437, 437,
3497 437
3498 };
3499
3500 static DfaState st220[71] = {
3501 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3502 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3503 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3504 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3505 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3506 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3507 92, 92, 224, 92, 92, 92, 92, 437, 437, 437,
3508 437
3509 };
3510
3511 static DfaState st221[71] = {
3512 437, 221, 437, 437, 437, 437, 437, 437, 437, 437,
3513 437, 225, 437, 437, 225, 437, 225, 437, 225, 437,
3514 225, 437, 225, 437, 225, 225, 225, 437, 437, 437,
3515 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3516 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3517 437, 437, 437, 225, 225, 437, 225, 225, 225, 225,
3518 225, 225, 225, 225, 225, 225, 437, 437, 437, 437,
3519 437
3520 };
3521
3522 static DfaState st222[71] = {
3523 437, 215, 437, 437, 437, 437, 437, 437, 437, 437,
3524 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3525 437, 437, 437, 437, 437, 217, 437, 437, 437, 437,
3526 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3527 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3528 437, 437, 437, 437, 437, 437, 217, 218, 437, 217,
3529 437, 217, 437, 437, 217, 437, 437, 437, 437, 437,
3530 437
3531 };
3532
3533 static DfaState st223[71] = {
3534 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3535 437, 226, 92, 437, 92, 92, 92, 92, 92, 92,
3536 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3537 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3538 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3539 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3540 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3541 437
3542 };
3543
3544 static DfaState st224[71] = {
3545 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3546 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3547 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3548 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3549 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3550 437, 437, 437, 92, 92, 92, 92, 92, 227, 92,
3551 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3552 437
3553 };
3554
3555 static DfaState st225[71] = {
3556 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3557 437, 225, 437, 437, 225, 437, 225, 437, 225, 437,
3558 225, 437, 225, 437, 225, 225, 225, 437, 437, 437,
3559 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3560 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3561 437, 437, 437, 225, 225, 437, 225, 225, 225, 225,
3562 225, 225, 225, 225, 225, 225, 437, 437, 437, 437,
3563 437
3564 };
3565
3566 static DfaState st226[71] = {
3567 437, 228, 437, 437, 92, 92, 92, 92, 92, 437,
3568 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3569 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3570 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3571 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3572 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3573 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3574 437
3575 };
3576
3577 static DfaState st227[71] = {
3578 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3579 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3580 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3581 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3582 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3583 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3584 92, 92, 92, 229, 92, 92, 92, 437, 437, 437,
3585 437
3586 };
3587
3588 static DfaState st228[71] = {
3589 437, 228, 437, 437, 437, 437, 437, 437, 437, 437,
3590 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3591 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3592 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3593 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3594 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3595 437, 230, 437, 437, 437, 437, 437, 437, 437, 437,
3596 437
3597 };
3598
3599 static DfaState st229[71] = {
3600 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3601 437, 231, 92, 437, 92, 92, 92, 92, 92, 92,
3602 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3603 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3604 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3605 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3606 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3607 437
3608 };
3609
3610 static DfaState st230[71] = {
3611 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3612 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3613 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3614 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3615 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3616 437, 437, 437, 437, 437, 437, 232, 437, 437, 437,
3617 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3618 437
3619 };
3620
3621 static DfaState st231[71] = {
3622 437, 437, 437, 437, 92, 92, 92, 92, 92, 437,
3623 437, 92, 92, 437, 92, 92, 92, 92, 92, 92,
3624 92, 92, 92, 92, 92, 92, 92, 92, 437, 437,
3625 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3626 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3627 437, 437, 437, 92, 92, 92, 92, 92, 92, 92,
3628 92, 92, 92, 92, 92, 92, 92, 437, 437, 437,
3629 437
3630 };
3631
3632 static DfaState st232[71] = {
3633 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3634 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3635 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3636 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3637 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3638 437, 437, 437, 437, 233, 437, 437, 437, 437, 437,
3639 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3640 437
3641 };
3642
3643 static DfaState st233[71] = {
3644 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3645 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3646 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3647 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3648 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3649 437, 437, 437, 437, 234, 437, 437, 437, 437, 437,
3650 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3651 437
3652 };
3653
3654 static DfaState st234[71] = {
3655 437, 437, 437, 437, 437, 235, 235, 437, 437, 437,
3656 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3657 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3658 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3659 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3660 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3661 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3662 437
3663 };
3664
3665 static DfaState st235[71] = {
3666 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3667 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3668 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3669 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3670 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3671 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3672 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3673 437
3674 };
3675
3676 static DfaState st236[6] = {
3677 237, 238, 239, 240, 241, 437
3678 };
3679
3680 static DfaState st237[6] = {
3681 437, 437, 437, 437, 437, 437
3682 };
3683
3684 static DfaState st238[6] = {
3685 437, 437, 242, 437, 437, 437
3686 };
3687
3688 static DfaState st239[6] = {
3689 437, 243, 437, 437, 437, 437
3690 };
3691
3692 static DfaState st240[6] = {
3693 437, 437, 437, 437, 437, 437
3694 };
3695
3696 static DfaState st241[6] = {
3697 437, 437, 437, 437, 241, 437
3698 };
3699
3700 static DfaState st242[6] = {
3701 437, 437, 437, 437, 437, 437
3702 };
3703
3704 static DfaState st243[6] = {
3705 437, 437, 437, 437, 437, 437
3706 };
3707
3708 static DfaState st244[47] = {
3709 245, 246, 246, 247, 248, 248, 249, 249, 250, 250,
3710 250, 250, 250, 251, 252, 253, 254, 254, 255, 256,
3711 257, 258, 258, 258, 258, 259, 258, 258, 258, 258,
3712 258, 258, 258, 258, 258, 258, 258, 437, 260, 437,
3713 261, 262, 263, 264, 437, 437, 437
3714 };
3715
3716 static DfaState st245[47] = {
3717 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3718 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3719 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3720 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3721 437, 437, 437, 437, 437, 437, 437
3722 };
3723
3724 static DfaState st246[47] = {
3725 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
3726 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3727 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
3728 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3729 437, 437, 437, 437, 437, 437, 437
3730 };
3731
3732 static DfaState st247[47] = {
3733 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3734 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3735 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3736 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3737 437, 437, 437, 437, 437, 437, 437
3738 };
3739
3740 static DfaState st248[47] = {
3741 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
3742 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3743 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
3744 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3745 437, 437, 437, 437, 437, 437, 437
3746 };
3747
3748 static DfaState st249[47] = {
3749 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3750 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3751 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3752 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3753 437, 437, 437, 437, 437, 437, 437
3754 };
3755
3756 static DfaState st250[47] = {
3757 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
3758 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3759 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
3760 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3761 437, 437, 437, 437, 437, 437, 437
3762 };
3763
3764 static DfaState st251[47] = {
3765 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3766 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3767 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3768 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3769 437, 437, 437, 267, 437, 437, 437
3770 };
3771
3772 static DfaState st252[47] = {
3773 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3774 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3775 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3776 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3777 437, 437, 437, 437, 437, 437, 437
3778 };
3779
3780 static DfaState st253[47] = {
3781 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3782 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3783 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3784 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3785 437, 437, 437, 437, 437, 437, 437
3786 };
3787
3788 static DfaState st254[47] = {
3789 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3790 437, 437, 437, 437, 437, 437, 254, 254, 437, 437,
3791 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3792 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3793 437, 437, 437, 437, 437, 437, 437
3794 };
3795
3796 static DfaState st255[47] = {
3797 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3798 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3799 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3800 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3801 437, 437, 437, 437, 437, 437, 437
3802 };
3803
3804 static DfaState st256[47] = {
3805 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3806 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3807 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3808 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3809 437, 437, 437, 437, 437, 437, 437
3810 };
3811
3812 static DfaState st257[47] = {
3813 437, 265, 265, 437, 265, 265, 265, 265, 268, 265,
3814 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3815 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
3816 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3817 437, 437, 437, 437, 437, 437, 437
3818 };
3819
3820 static DfaState st258[47] = {
3821 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
3822 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3823 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
3824 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3825 437, 437, 437, 437, 437, 437, 437
3826 };
3827
3828 static DfaState st259[47] = {
3829 437, 269, 269, 437, 269, 269, 437, 437, 269, 269,
3830 269, 269, 269, 437, 437, 437, 437, 437, 437, 437,
3831 269, 269, 269, 269, 269, 437, 269, 269, 269, 269,
3832 269, 269, 269, 269, 269, 269, 269, 437, 437, 437,
3833 437, 437, 437, 437, 437, 437, 437
3834 };
3835
3836 static DfaState st260[47] = {
3837 437, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3838 270, 270, 270, 270, 270, 437, 437, 437, 270, 270,
3839 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3840 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3841 270, 270, 270, 270, 270, 270, 437
3842 };
3843
3844 static DfaState st261[47] = {
3845 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3846 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3847 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3848 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3849 437, 437, 437, 437, 437, 437, 437
3850 };
3851
3852 static DfaState st262[47] = {
3853 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3854 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3855 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3856 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3857 437, 437, 437, 437, 437, 437, 437
3858 };
3859
3860 static DfaState st263[47] = {
3861 437, 271, 271, 272, 271, 271, 272, 272, 273, 271,
3862 271, 274, 271, 272, 272, 437, 272, 272, 272, 272,
3863 275, 276, 277, 271, 271, 272, 278, 271, 279, 271,
3864 271, 271, 271, 271, 271, 271, 271, 272, 272, 272,
3865 272, 272, 272, 272, 272, 272, 437
3866 };
3867
3868 static DfaState st264[47] = {
3869 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3870 437, 437, 437, 280, 437, 437, 437, 437, 437, 437,
3871 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3872 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3873 437, 437, 437, 281, 437, 437, 437
3874 };
3875
3876 static DfaState st265[47] = {
3877 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
3878 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3879 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
3880 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3881 437, 437, 437, 437, 437, 437, 437
3882 };
3883
3884 static DfaState st266[47] = {
3885 437, 282, 282, 437, 282, 282, 437, 437, 282, 282,
3886 282, 282, 282, 437, 437, 437, 437, 437, 437, 437,
3887 282, 282, 282, 282, 282, 437, 282, 282, 282, 282,
3888 282, 282, 282, 282, 282, 282, 282, 437, 437, 437,
3889 437, 437, 437, 437, 437, 437, 437
3890 };
3891
3892 static DfaState st267[47] = {
3893 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3894 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3895 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3896 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3897 437, 437, 437, 437, 437, 437, 437
3898 };
3899
3900 static DfaState st268[47] = {
3901 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
3902 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
3903 265, 283, 265, 265, 265, 265, 265, 265, 265, 265,
3904 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
3905 437, 437, 437, 437, 437, 437, 437
3906 };
3907
3908 static DfaState st269[47] = {
3909 437, 284, 284, 437, 284, 284, 284, 284, 284, 284,
3910 284, 284, 284, 437, 437, 437, 437, 437, 437, 437,
3911 284, 284, 284, 284, 284, 284, 284, 284, 284, 284,
3912 284, 284, 284, 284, 284, 284, 284, 284, 437, 437,
3913 437, 437, 437, 437, 437, 437, 437
3914 };
3915
3916 static DfaState st270[47] = {
3917 437, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3918 270, 270, 270, 270, 270, 437, 437, 437, 270, 270,
3919 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3920 270, 270, 270, 270, 270, 270, 270, 270, 270, 270,
3921 270, 270, 270, 270, 270, 270, 437
3922 };
3923
3924 static DfaState st271[47] = {
3925 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
3926 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3927 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
3928 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3929 272, 272, 272, 272, 272, 272, 437
3930 };
3931
3932 static DfaState st272[47] = {
3933 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
3934 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
3935 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
3936 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
3937 272, 272, 272, 272, 272, 272, 437
3938 };
3939
3940 static DfaState st273[47] = {
3941 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
3942 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3943 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
3944 285, 285, 286, 285, 285, 285, 285, 285, 272, 272,
3945 272, 272, 272, 272, 272, 272, 437
3946 };
3947
3948 static DfaState st274[47] = {
3949 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
3950 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3951 287, 285, 285, 285, 285, 285, 285, 285, 285, 285,
3952 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3953 272, 272, 272, 272, 272, 272, 437
3954 };
3955
3956 static DfaState st275[47] = {
3957 437, 285, 285, 272, 285, 285, 285, 285, 288, 285,
3958 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3959 285, 285, 285, 285, 289, 285, 285, 285, 285, 285,
3960 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3961 272, 272, 272, 272, 272, 272, 437
3962 };
3963
3964 static DfaState st276[47] = {
3965 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
3966 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3967 290, 285, 285, 285, 285, 285, 285, 285, 285, 285,
3968 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3969 272, 272, 272, 272, 272, 272, 437
3970 };
3971
3972 static DfaState st277[47] = {
3973 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
3974 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3975 285, 285, 285, 285, 285, 285, 285, 285, 291, 285,
3976 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3977 272, 272, 272, 272, 272, 272, 437
3978 };
3979
3980 static DfaState st278[47] = {
3981 437, 285, 285, 272, 285, 285, 285, 285, 292, 285,
3982 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3983 285, 285, 285, 285, 285, 285, 285, 293, 285, 285,
3984 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3985 272, 272, 272, 272, 272, 272, 437
3986 };
3987
3988 static DfaState st279[47] = {
3989 437, 285, 285, 272, 285, 285, 285, 285, 294, 295,
3990 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
3991 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
3992 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
3993 272, 272, 272, 272, 272, 272, 437
3994 };
3995
3996 static DfaState st280[47] = {
3997 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3998 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
3999 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
4000 437, 437, 437, 437, 437, 437, 437, 437, 437, 437,
4001 437, 437, 437, 437, 437, 437, 437
4002 };
4003
4004 static DfaState st281[47] = {
4005 437, 296, 296, 296, 296, 296, 296, 296, 296, 296,
4006 296, 296, 296, 296, 296, 437, 296, 296, 296, 296,
4007 296, 296, 296, 296, 296, 296, 296, 296, 296, 296,
4008 296, 296, 296, 296, 296, 296, 296, 296, 296, 296,
4009 296, 296, 296, 296, 296, 296, 437
4010 };
4011
4012 static DfaState st282[47] = {
4013 437, 297, 297, 437, 297, 297, 297, 297, 297, 297,
4014 297, 297, 297, 437, 437, 437, 437, 437, 437, 437,
4015 297, 297, 297, 297, 297, 297, 297, 297, 297, 297,
4016 297, 297, 297, 297, 297, 297, 297, 297, 437, 266,
4017 437, 437, 437, 437, 437, 437, 437
4018 };
4019
4020 static DfaState st283[47] = {
4021 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
4022 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
4023 265, 265, 298, 265, 265, 265, 265, 265, 265, 265,
4024 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
4025 437, 437, 437, 437, 437, 437, 437
4026 };
4027
4028 static DfaState st284[47] = {
4029 437, 284, 284, 437, 284, 284, 284, 284, 284, 284,
4030 284, 284, 284, 437, 437, 437, 437, 437, 437, 437,
4031 284, 284, 284, 284, 284, 284, 284, 284, 284, 284,
4032 284, 284, 284, 284, 284, 284, 284, 284, 437, 437,
4033 437, 437, 437, 437, 437, 437, 437
4034 };
4035
4036 static DfaState st285[47] = {
4037 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4038 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4039 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4040 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4041 272, 272, 272, 272, 272, 272, 437
4042 };
4043
4044 static DfaState st286[47] = {
4045 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4046 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4047 285, 285, 285, 285, 285, 285, 299, 285, 285, 285,
4048 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4049 272, 272, 272, 272, 272, 272, 437
4050 };
4051
4052 static DfaState st287[47] = {
4053 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4054 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4055 285, 285, 285, 285, 285, 285, 285, 300, 285, 285,
4056 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4057 272, 272, 272, 272, 272, 272, 437
4058 };
4059
4060 static DfaState st288[47] = {
4061 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4062 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4063 285, 301, 285, 285, 285, 285, 285, 285, 285, 285,
4064 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4065 272, 272, 272, 272, 272, 272, 437
4066 };
4067
4068 static DfaState st289[47] = {
4069 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4070 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4071 285, 285, 285, 285, 285, 285, 285, 302, 285, 285,
4072 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4073 272, 272, 272, 272, 272, 272, 437
4074 };
4075
4076 static DfaState st290[47] = {
4077 437, 285, 285, 272, 285, 285, 285, 285, 285, 303,
4078 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4079 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4080 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4081 272, 272, 272, 272, 272, 272, 437
4082 };
4083
4084 static DfaState st291[47] = {
4085 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4086 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4087 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4088 285, 285, 285, 285, 304, 285, 285, 285, 272, 272,
4089 272, 272, 272, 272, 272, 272, 437
4090 };
4091
4092 static DfaState st292[47] = {
4093 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4094 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4095 285, 305, 285, 285, 285, 285, 285, 285, 285, 285,
4096 285, 306, 285, 285, 285, 285, 285, 285, 272, 272,
4097 272, 272, 272, 272, 272, 272, 437
4098 };
4099
4100 static DfaState st293[47] = {
4101 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4102 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4103 307, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4104 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4105 272, 272, 272, 272, 272, 272, 437
4106 };
4107
4108 static DfaState st294[47] = {
4109 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4110 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4111 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4112 285, 308, 285, 285, 285, 285, 285, 285, 272, 272,
4113 272, 272, 272, 272, 272, 272, 437
4114 };
4115
4116 static DfaState st295[47] = {
4117 437, 285, 285, 272, 285, 285, 285, 285, 309, 285,
4118 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4119 285, 310, 285, 285, 285, 285, 285, 285, 285, 285,
4120 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4121 272, 272, 272, 272, 272, 272, 437
4122 };
4123
4124 static DfaState st296[47] = {
4125 437, 296, 296, 296, 296, 296, 296, 296, 296, 296,
4126 296, 296, 296, 296, 296, 437, 296, 296, 296, 296,
4127 296, 296, 296, 296, 296, 296, 296, 296, 296, 296,
4128 296, 296, 296, 296, 296, 296, 296, 296, 296, 296,
4129 296, 296, 296, 296, 296, 296, 437
4130 };
4131
4132 static DfaState st297[47] = {
4133 437, 297, 297, 437, 297, 297, 297, 297, 297, 297,
4134 297, 297, 297, 437, 437, 437, 437, 437, 437, 437,
4135 297, 297, 297, 297, 297, 297, 297, 297, 297, 297,
4136 297, 297, 297, 297, 297, 297, 297, 297, 437, 266,
4137 437, 437, 437, 437, 437, 437, 437
4138 };
4139
4140 static DfaState st298[47] = {
4141 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
4142 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
4143 265, 265, 265, 311, 265, 265, 265, 265, 265, 265,
4144 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
4145 437, 437, 437, 437, 437, 437, 437
4146 };
4147
4148 static DfaState st299[47] = {
4149 437, 285, 285, 272, 285, 285, 285, 285, 312, 285,
4150 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4151 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4152 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4153 272, 272, 272, 272, 272, 272, 437
4154 };
4155
4156 static DfaState st300[47] = {
4157 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4158 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4159 313, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4160 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4161 272, 272, 272, 272, 272, 272, 437
4162 };
4163
4164 static DfaState st301[47] = {
4165 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4166 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4167 285, 285, 285, 285, 285, 285, 285, 285, 314, 285,
4168 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4169 272, 272, 272, 272, 272, 272, 437
4170 };
4171
4172 static DfaState st302[47] = {
4173 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4174 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4175 315, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4176 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4177 272, 272, 272, 272, 272, 272, 437
4178 };
4179
4180 static DfaState st303[47] = {
4181 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4182 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4183 285, 285, 285, 316, 285, 285, 285, 285, 317, 285,
4184 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4185 272, 272, 272, 272, 272, 272, 437
4186 };
4187
4188 static DfaState st304[47] = {
4189 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4190 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4191 318, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4192 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4193 272, 272, 272, 272, 272, 272, 437
4194 };
4195
4196 static DfaState st305[47] = {
4197 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4198 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4199 319, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4200 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4201 272, 272, 272, 272, 272, 272, 437
4202 };
4203
4204 static DfaState st306[47] = {
4205 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4206 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4207 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4208 285, 285, 320, 285, 285, 285, 285, 285, 272, 272,
4209 272, 272, 272, 272, 272, 272, 437
4210 };
4211
4212 static DfaState st307[47] = {
4213 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4214 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4215 285, 285, 285, 285, 321, 285, 285, 285, 285, 285,
4216 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4217 272, 272, 272, 272, 272, 272, 437
4218 };
4219
4220 static DfaState st308[47] = {
4221 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4222 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4223 285, 285, 285, 285, 322, 285, 285, 285, 285, 285,
4224 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4225 272, 272, 272, 272, 272, 272, 437
4226 };
4227
4228 static DfaState st309[47] = {
4229 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4230 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4231 285, 323, 285, 285, 285, 285, 285, 285, 285, 285,
4232 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4233 272, 272, 272, 272, 272, 272, 437
4234 };
4235
4236 static DfaState st310[47] = {
4237 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4238 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4239 324, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4240 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4241 272, 272, 272, 272, 272, 272, 437
4242 };
4243
4244 static DfaState st311[47] = {
4245 437, 325, 265, 437, 265, 265, 265, 265, 265, 265,
4246 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
4247 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
4248 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
4249 437, 437, 437, 437, 437, 437, 437
4250 };
4251
4252 static DfaState st312[47] = {
4253 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4254 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4255 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4256 285, 326, 285, 285, 285, 285, 285, 285, 272, 272,
4257 272, 272, 272, 272, 272, 272, 437
4258 };
4259
4260 static DfaState st313[47] = {
4261 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4262 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4263 285, 285, 327, 285, 285, 285, 285, 285, 285, 285,
4264 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4265 272, 272, 272, 272, 272, 272, 437
4266 };
4267
4268 static DfaState st314[47] = {
4269 437, 285, 285, 272, 285, 285, 285, 285, 285, 328,
4270 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4271 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4272 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4273 272, 272, 272, 272, 272, 272, 437
4274 };
4275
4276 static DfaState st315[47] = {
4277 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4278 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4279 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4280 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4281 272, 272, 272, 272, 272, 272, 437
4282 };
4283
4284 static DfaState st316[47] = {
4285 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4286 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4287 285, 285, 285, 285, 285, 285, 329, 285, 285, 285,
4288 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4289 272, 272, 272, 272, 272, 272, 437
4290 };
4291
4292 static DfaState st317[47] = {
4293 437, 285, 285, 272, 285, 285, 285, 285, 330, 285,
4294 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4295 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4296 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4297 272, 272, 272, 272, 272, 272, 437
4298 };
4299
4300 static DfaState st318[47] = {
4301 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4302 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4303 285, 285, 285, 285, 285, 285, 285, 331, 285, 285,
4304 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4305 272, 272, 272, 272, 272, 272, 437
4306 };
4307
4308 static DfaState st319[47] = {
4309 437, 285, 285, 272, 285, 285, 285, 285, 285, 332,
4310 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4311 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4312 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4313 272, 272, 272, 272, 272, 272, 437
4314 };
4315
4316 static DfaState st320[47] = {
4317 437, 285, 285, 272, 285, 285, 285, 285, 333, 285,
4318 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4319 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4320 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4321 272, 272, 272, 272, 272, 272, 437
4322 };
4323
4324 static DfaState st321[47] = {
4325 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4326 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4327 285, 285, 285, 285, 285, 285, 285, 285, 334, 285,
4328 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4329 272, 272, 272, 272, 272, 272, 437
4330 };
4331
4332 static DfaState st322[47] = {
4333 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4334 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4335 285, 285, 285, 285, 285, 285, 335, 285, 285, 285,
4336 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4337 272, 272, 272, 272, 272, 272, 437
4338 };
4339
4340 static DfaState st323[47] = {
4341 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4342 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4343 336, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4344 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4345 272, 272, 272, 272, 272, 272, 437
4346 };
4347
4348 static DfaState st324[47] = {
4349 437, 285, 285, 272, 285, 285, 285, 285, 285, 337,
4350 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4351 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4352 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4353 272, 272, 272, 272, 272, 272, 437
4354 };
4355
4356 static DfaState st325[47] = {
4357 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
4358 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
4359 265, 265, 265, 265, 338, 265, 265, 265, 265, 265,
4360 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
4361 437, 437, 437, 437, 437, 437, 437
4362 };
4363
4364 static DfaState st326[47] = {
4365 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4366 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4367 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4368 285, 285, 339, 285, 285, 285, 285, 285, 272, 272,
4369 272, 272, 272, 272, 272, 272, 437
4370 };
4371
4372 static DfaState st327[47] = {
4373 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4374 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4375 285, 285, 285, 340, 285, 285, 285, 285, 285, 285,
4376 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4377 272, 272, 272, 272, 272, 272, 437
4378 };
4379
4380 static DfaState st328[47] = {
4381 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4382 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4383 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4384 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4385 272, 272, 272, 272, 272, 272, 437
4386 };
4387
4388 static DfaState st329[47] = {
4389 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4390 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4391 285, 285, 285, 285, 341, 285, 285, 285, 285, 285,
4392 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4393 272, 272, 272, 272, 272, 272, 437
4394 };
4395
4396 static DfaState st330[47] = {
4397 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4398 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4399 342, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4400 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4401 272, 272, 272, 272, 272, 272, 437
4402 };
4403
4404 static DfaState st331[47] = {
4405 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4406 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4407 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4408 285, 343, 285, 285, 285, 285, 285, 285, 272, 272,
4409 272, 272, 272, 272, 272, 272, 437
4410 };
4411
4412 static DfaState st332[47] = {
4413 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4414 285, 285, 285, 272, 272, 437, 344, 272, 272, 272,
4415 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4416 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4417 272, 272, 272, 272, 272, 272, 437
4418 };
4419
4420 static DfaState st333[47] = {
4421 437, 285, 285, 272, 285, 285, 285, 285, 345, 285,
4422 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4423 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4424 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4425 272, 272, 272, 272, 272, 272, 437
4426 };
4427
4428 static DfaState st334[47] = {
4429 437, 346, 285, 272, 285, 285, 285, 285, 285, 285,
4430 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4431 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4432 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4433 272, 272, 272, 272, 272, 272, 437
4434 };
4435
4436 static DfaState st335[47] = {
4437 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4438 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4439 285, 347, 285, 285, 285, 285, 285, 285, 285, 285,
4440 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4441 272, 272, 272, 272, 272, 272, 437
4442 };
4443
4444 static DfaState st336[47] = {
4445 437, 285, 285, 272, 285, 285, 285, 285, 285, 348,
4446 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4447 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4448 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4449 272, 272, 272, 272, 272, 272, 437
4450 };
4451
4452 static DfaState st337[47] = {
4453 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4454 285, 285, 285, 272, 272, 437, 349, 272, 272, 272,
4455 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4456 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4457 272, 272, 272, 272, 272, 272, 437
4458 };
4459
4460 static DfaState st338[47] = {
4461 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
4462 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
4463 350, 265, 265, 265, 265, 265, 265, 265, 265, 265,
4464 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
4465 437, 437, 437, 437, 437, 437, 437
4466 };
4467
4468 static DfaState st339[47] = {
4469 437, 285, 285, 272, 285, 285, 285, 285, 351, 285,
4470 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4471 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4472 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4473 272, 272, 272, 272, 272, 272, 437
4474 };
4475
4476 static DfaState st340[47] = {
4477 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4478 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4479 285, 285, 285, 285, 352, 285, 285, 285, 285, 285,
4480 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4481 272, 272, 272, 272, 272, 272, 437
4482 };
4483
4484 static DfaState st341[47] = {
4485 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4486 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4487 285, 285, 353, 285, 285, 285, 285, 285, 285, 285,
4488 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4489 272, 272, 272, 272, 272, 272, 437
4490 };
4491
4492 static DfaState st342[47] = {
4493 437, 354, 354, 355, 354, 354, 354, 354, 354, 354,
4494 354, 354, 354, 355, 355, 437, 355, 355, 355, 355,
4495 354, 354, 354, 354, 354, 354, 354, 354, 354, 354,
4496 354, 354, 354, 354, 354, 354, 354, 354, 355, 355,
4497 355, 355, 355, 355, 355, 355, 437
4498 };
4499
4500 static DfaState st343[47] = {
4501 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4502 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4503 285, 285, 285, 356, 285, 285, 285, 285, 285, 285,
4504 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4505 272, 272, 272, 272, 272, 272, 437
4506 };
4507
4508 static DfaState st344[47] = {
4509 437, 357, 357, 272, 357, 357, 272, 272, 357, 357,
4510 357, 357, 357, 272, 272, 437, 344, 272, 272, 272,
4511 357, 357, 357, 357, 357, 272, 357, 357, 357, 357,
4512 357, 357, 357, 357, 357, 357, 357, 272, 272, 272,
4513 272, 272, 272, 272, 272, 272, 437
4514 };
4515
4516 static DfaState st345[47] = {
4517 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4518 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4519 358, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4520 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4521 272, 272, 272, 272, 272, 272, 437
4522 };
4523
4524 static DfaState st346[47] = {
4525 437, 359, 359, 360, 359, 359, 359, 359, 359, 359,
4526 359, 359, 359, 360, 360, 437, 360, 360, 360, 360,
4527 359, 359, 359, 359, 359, 359, 359, 359, 359, 359,
4528 359, 359, 359, 359, 359, 359, 359, 359, 360, 360,
4529 360, 360, 360, 360, 360, 360, 437
4530 };
4531
4532 static DfaState st347[47] = {
4533 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4534 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4535 361, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4536 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4537 272, 272, 272, 272, 272, 272, 437
4538 };
4539
4540 static DfaState st348[47] = {
4541 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4542 285, 285, 285, 272, 272, 437, 362, 272, 272, 272,
4543 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4544 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4545 272, 272, 272, 272, 272, 272, 437
4546 };
4547
4548 static DfaState st349[47] = {
4549 437, 363, 363, 272, 363, 363, 272, 272, 363, 363,
4550 363, 363, 363, 272, 272, 437, 349, 272, 272, 272,
4551 363, 363, 363, 363, 363, 272, 363, 363, 363, 363,
4552 363, 363, 363, 363, 363, 363, 363, 272, 272, 272,
4553 272, 272, 272, 272, 272, 272, 437
4554 };
4555
4556 static DfaState st350[47] = {
4557 437, 265, 265, 437, 265, 265, 265, 265, 265, 265,
4558 265, 265, 265, 437, 437, 437, 437, 437, 437, 437,
4559 265, 265, 265, 265, 265, 265, 265, 265, 265, 265,
4560 265, 265, 265, 265, 265, 265, 265, 265, 437, 266,
4561 437, 437, 437, 437, 437, 437, 437
4562 };
4563
4564 static DfaState st351[47] = {
4565 437, 285, 285, 272, 285, 285, 285, 285, 364, 285,
4566 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4567 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4568 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4569 272, 272, 272, 272, 272, 272, 437
4570 };
4571
4572 static DfaState st352[47] = {
4573 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4574 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4575 285, 285, 285, 285, 365, 285, 285, 285, 285, 285,
4576 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4577 272, 272, 272, 272, 272, 272, 437
4578 };
4579
4580 static DfaState st353[47] = {
4581 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4582 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4583 285, 285, 285, 285, 285, 285, 285, 285, 285, 366,
4584 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4585 272, 272, 272, 272, 272, 272, 437
4586 };
4587
4588 static DfaState st354[47] = {
4589 437, 354, 354, 355, 354, 354, 354, 354, 354, 354,
4590 354, 354, 354, 355, 355, 437, 355, 355, 355, 355,
4591 354, 354, 354, 354, 354, 354, 354, 354, 354, 354,
4592 354, 354, 354, 354, 354, 354, 354, 354, 355, 355,
4593 355, 355, 355, 355, 355, 355, 437
4594 };
4595
4596 static DfaState st355[47] = {
4597 437, 355, 355, 355, 355, 355, 355, 355, 355, 355,
4598 355, 355, 355, 355, 355, 437, 355, 355, 355, 355,
4599 355, 355, 355, 355, 355, 355, 355, 355, 355, 355,
4600 355, 355, 355, 355, 355, 355, 355, 355, 355, 355,
4601 355, 355, 355, 355, 355, 355, 437
4602 };
4603
4604 static DfaState st356[47] = {
4605 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4606 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4607 285, 285, 285, 285, 367, 285, 285, 285, 285, 285,
4608 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4609 272, 272, 272, 272, 272, 272, 437
4610 };
4611
4612 static DfaState st357[47] = {
4613 437, 368, 368, 272, 368, 368, 368, 368, 368, 368,
4614 368, 368, 368, 272, 272, 437, 272, 272, 272, 272,
4615 368, 368, 368, 368, 368, 368, 368, 368, 368, 368,
4616 368, 368, 368, 368, 368, 368, 368, 368, 272, 272,
4617 272, 272, 272, 272, 272, 272, 437
4618 };
4619
4620 static DfaState st358[47] = {
4621 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4622 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4623 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4624 285, 369, 285, 285, 285, 285, 285, 285, 272, 272,
4625 272, 272, 272, 272, 272, 272, 437
4626 };
4627
4628 static DfaState st359[47] = {
4629 437, 359, 359, 360, 359, 359, 359, 359, 359, 359,
4630 359, 359, 359, 360, 360, 437, 360, 360, 360, 360,
4631 359, 359, 359, 359, 359, 359, 359, 359, 359, 359,
4632 359, 359, 359, 359, 359, 359, 359, 359, 360, 360,
4633 360, 360, 360, 360, 360, 360, 437
4634 };
4635
4636 static DfaState st360[47] = {
4637 437, 360, 360, 360, 360, 360, 360, 360, 360, 360,
4638 360, 360, 360, 360, 360, 437, 360, 360, 360, 360,
4639 360, 360, 360, 360, 360, 360, 360, 360, 360, 360,
4640 360, 360, 360, 360, 360, 360, 360, 360, 360, 360,
4641 360, 360, 360, 360, 360, 360, 437
4642 };
4643
4644 static DfaState st361[47] = {
4645 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4646 285, 285, 285, 272, 272, 437, 370, 272, 272, 272,
4647 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4648 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4649 272, 272, 272, 272, 272, 272, 437
4650 };
4651
4652 static DfaState st362[47] = {
4653 437, 371, 371, 272, 371, 371, 272, 272, 371, 371,
4654 371, 371, 371, 272, 272, 437, 362, 272, 272, 272,
4655 371, 371, 371, 371, 371, 272, 371, 371, 371, 371,
4656 371, 371, 371, 371, 371, 371, 371, 272, 272, 272,
4657 272, 272, 272, 272, 272, 272, 437
4658 };
4659
4660 static DfaState st363[47] = {
4661 437, 372, 372, 272, 372, 372, 372, 372, 372, 372,
4662 372, 372, 372, 272, 272, 437, 272, 272, 272, 272,
4663 372, 372, 372, 372, 372, 372, 372, 372, 372, 372,
4664 372, 372, 372, 372, 372, 372, 372, 372, 272, 272,
4665 272, 272, 272, 272, 272, 272, 437
4666 };
4667
4668 static DfaState st364[47] = {
4669 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4670 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4671 373, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4672 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4673 272, 272, 272, 272, 272, 272, 437
4674 };
4675
4676 static DfaState st365[47] = {
4677 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4678 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4679 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4680 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4681 272, 272, 272, 272, 272, 272, 437
4682 };
4683
4684 static DfaState st366[47] = {
4685 437, 285, 285, 272, 285, 285, 285, 285, 374, 285,
4686 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4687 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4688 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4689 272, 272, 272, 272, 272, 272, 437
4690 };
4691
4692 static DfaState st367[47] = {
4693 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4694 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4695 375, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4696 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4697 272, 272, 272, 272, 272, 272, 437
4698 };
4699
4700 static DfaState st368[47] = {
4701 437, 368, 368, 272, 368, 368, 368, 368, 368, 368,
4702 368, 368, 368, 272, 272, 437, 272, 272, 272, 272,
4703 368, 368, 368, 368, 368, 368, 368, 368, 368, 368,
4704 368, 368, 368, 368, 368, 368, 368, 368, 272, 272,
4705 272, 272, 272, 272, 272, 272, 437
4706 };
4707
4708 static DfaState st369[47] = {
4709 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4710 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4711 285, 285, 376, 285, 285, 285, 285, 285, 285, 285,
4712 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4713 272, 272, 272, 272, 272, 272, 437
4714 };
4715
4716 static DfaState st370[47] = {
4717 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4718 272, 272, 272, 272, 272, 437, 370, 272, 272, 272,
4719 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4720 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4721 272, 272, 272, 272, 377, 272, 437
4722 };
4723
4724 static DfaState st371[47] = {
4725 437, 378, 378, 272, 378, 378, 378, 378, 378, 378,
4726 378, 378, 378, 272, 272, 437, 272, 272, 272, 272,
4727 378, 378, 378, 378, 378, 378, 378, 378, 378, 378,
4728 378, 378, 378, 378, 378, 378, 378, 378, 272, 272,
4729 272, 272, 272, 272, 272, 272, 437
4730 };
4731
4732 static DfaState st372[47] = {
4733 437, 372, 372, 272, 372, 372, 372, 372, 372, 372,
4734 372, 372, 372, 272, 272, 437, 272, 272, 272, 272,
4735 372, 372, 372, 372, 372, 372, 372, 372, 372, 372,
4736 372, 372, 372, 372, 372, 372, 372, 372, 272, 272,
4737 272, 272, 272, 272, 272, 272, 437
4738 };
4739
4740 static DfaState st373[47] = {
4741 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4742 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4743 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4744 285, 379, 285, 285, 285, 285, 285, 285, 272, 272,
4745 272, 272, 272, 272, 272, 272, 437
4746 };
4747
4748 static DfaState st374[47] = {
4749 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4750 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4751 380, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4752 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4753 272, 272, 272, 272, 272, 272, 437
4754 };
4755
4756 static DfaState st375[47] = {
4757 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4758 285, 285, 285, 272, 272, 437, 381, 272, 272, 272,
4759 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4760 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4761 272, 272, 272, 272, 272, 272, 437
4762 };
4763
4764 static DfaState st376[47] = {
4765 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4766 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4767 382, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4768 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4769 272, 272, 272, 272, 272, 272, 437
4770 };
4771
4772 static DfaState st377[47] = {
4773 437, 383, 383, 383, 383, 383, 383, 383, 383, 383,
4774 383, 383, 383, 383, 383, 437, 383, 383, 383, 383,
4775 383, 383, 383, 383, 383, 383, 383, 383, 383, 383,
4776 383, 383, 383, 383, 383, 383, 383, 383, 383, 383,
4777 383, 383, 383, 383, 384, 383, 437
4778 };
4779
4780 static DfaState st378[47] = {
4781 437, 378, 378, 272, 378, 378, 378, 378, 378, 378,
4782 378, 378, 378, 272, 272, 437, 272, 272, 272, 272,
4783 378, 378, 378, 378, 378, 378, 378, 378, 378, 378,
4784 378, 378, 378, 378, 378, 378, 378, 378, 272, 272,
4785 272, 272, 272, 272, 272, 272, 437
4786 };
4787
4788 static DfaState st379[47] = {
4789 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4790 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4791 285, 285, 385, 285, 285, 285, 285, 285, 285, 285,
4792 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4793 272, 272, 272, 272, 272, 272, 437
4794 };
4795
4796 static DfaState st380[47] = {
4797 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4798 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4799 285, 285, 386, 285, 285, 285, 285, 285, 285, 285,
4800 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4801 272, 272, 272, 272, 272, 272, 437
4802 };
4803
4804 static DfaState st381[47] = {
4805 437, 272, 272, 272, 272, 272, 387, 272, 272, 272,
4806 272, 272, 272, 272, 272, 437, 381, 272, 272, 272,
4807 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4808 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4809 272, 272, 272, 272, 272, 272, 437
4810 };
4811
4812 static DfaState st382[47] = {
4813 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4814 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4815 285, 388, 285, 285, 285, 285, 285, 285, 285, 285,
4816 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4817 272, 272, 272, 272, 272, 272, 437
4818 };
4819
4820 static DfaState st383[47] = {
4821 437, 383, 383, 383, 383, 383, 383, 383, 383, 383,
4822 383, 383, 383, 383, 383, 437, 383, 383, 383, 383,
4823 383, 383, 383, 383, 383, 383, 383, 383, 383, 383,
4824 383, 383, 383, 383, 383, 383, 383, 383, 383, 383,
4825 383, 383, 383, 383, 384, 383, 437
4826 };
4827
4828 static DfaState st384[47] = {
4829 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4830 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
4831 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4832 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4833 272, 272, 272, 272, 272, 272, 437
4834 };
4835
4836 static DfaState st385[47] = {
4837 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4838 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4839 389, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4840 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4841 272, 272, 272, 272, 272, 272, 437
4842 };
4843
4844 static DfaState st386[47] = {
4845 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4846 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4847 285, 285, 390, 285, 285, 285, 285, 285, 285, 285,
4848 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4849 272, 272, 272, 272, 272, 272, 437
4850 };
4851
4852 static DfaState st387[47] = {
4853 437, 272, 272, 272, 272, 272, 272, 391, 392, 392,
4854 392, 272, 272, 272, 272, 437, 393, 272, 272, 272,
4855 272, 272, 272, 272, 272, 272, 392, 394, 272, 272,
4856 272, 272, 272, 272, 392, 272, 272, 272, 272, 272,
4857 272, 272, 272, 272, 272, 272, 437
4858 };
4859
4860 static DfaState st388[47] = {
4861 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4862 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4863 285, 285, 285, 285, 285, 285, 285, 285, 285, 395,
4864 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4865 272, 272, 272, 272, 272, 272, 437
4866 };
4867
4868 static DfaState st389[47] = {
4869 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4870 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4871 285, 396, 285, 285, 285, 285, 285, 285, 285, 285,
4872 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4873 272, 272, 272, 272, 272, 272, 437
4874 };
4875
4876 static DfaState st390[47] = {
4877 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4878 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4879 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4880 397, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4881 272, 272, 272, 272, 272, 272, 437
4882 };
4883
4884 static DfaState st391[47] = {
4885 437, 272, 272, 272, 272, 272, 272, 398, 392, 392,
4886 392, 272, 272, 272, 272, 437, 393, 272, 272, 272,
4887 272, 272, 272, 272, 272, 272, 392, 394, 272, 272,
4888 272, 272, 272, 272, 392, 272, 272, 272, 272, 272,
4889 272, 272, 272, 272, 272, 272, 437
4890 };
4891
4892 static DfaState st392[47] = {
4893 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4894 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
4895 272, 272, 272, 272, 272, 272, 272, 394, 272, 272,
4896 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4897 272, 272, 272, 272, 272, 272, 437
4898 };
4899
4900 static DfaState st393[47] = {
4901 437, 272, 272, 272, 272, 272, 272, 272, 392, 392,
4902 392, 272, 272, 272, 272, 437, 393, 272, 272, 272,
4903 272, 272, 272, 272, 272, 272, 392, 394, 272, 272,
4904 272, 272, 272, 272, 392, 272, 272, 272, 272, 272,
4905 272, 272, 272, 272, 272, 272, 437
4906 };
4907
4908 static DfaState st394[47] = {
4909 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4910 272, 272, 272, 272, 272, 437, 399, 272, 272, 272,
4911 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4912 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4913 272, 272, 272, 400, 272, 272, 437
4914 };
4915
4916 static DfaState st395[47] = {
4917 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4918 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4919 285, 401, 285, 285, 285, 285, 285, 285, 285, 285,
4920 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4921 272, 272, 272, 272, 272, 272, 437
4922 };
4923
4924 static DfaState st396[47] = {
4925 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4926 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4927 285, 285, 285, 285, 285, 285, 285, 285, 285, 402,
4928 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4929 272, 272, 272, 272, 272, 272, 437
4930 };
4931
4932 static DfaState st397[47] = {
4933 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4934 403, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4935 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4936 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4937 272, 272, 272, 272, 272, 272, 437
4938 };
4939
4940 static DfaState st398[47] = {
4941 437, 272, 272, 272, 272, 272, 272, 272, 392, 392,
4942 392, 272, 272, 272, 272, 437, 393, 272, 272, 272,
4943 272, 272, 272, 272, 272, 272, 392, 394, 272, 272,
4944 272, 272, 272, 272, 392, 272, 272, 272, 272, 272,
4945 272, 272, 272, 272, 272, 272, 437
4946 };
4947
4948 static DfaState st399[47] = {
4949 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4950 272, 272, 272, 272, 272, 437, 399, 272, 272, 272,
4951 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4952 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4953 272, 272, 272, 400, 272, 272, 437
4954 };
4955
4956 static DfaState st400[47] = {
4957 437, 272, 272, 272, 272, 272, 404, 272, 272, 272,
4958 272, 272, 272, 272, 272, 437, 405, 272, 272, 272,
4959 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4960 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
4961 272, 272, 272, 272, 272, 272, 437
4962 };
4963
4964 static DfaState st401[47] = {
4965 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4966 285, 406, 285, 272, 272, 437, 272, 272, 272, 272,
4967 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4968 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4969 272, 272, 272, 272, 272, 272, 437
4970 };
4971
4972 static DfaState st402[47] = {
4973 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4974 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4975 285, 407, 285, 285, 285, 285, 285, 285, 285, 285,
4976 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4977 272, 272, 272, 272, 272, 272, 437
4978 };
4979
4980 static DfaState st403[47] = {
4981 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
4982 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
4983 408, 285, 285, 285, 285, 285, 285, 285, 285, 285,
4984 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
4985 272, 272, 272, 272, 272, 272, 437
4986 };
4987
4988 static DfaState st404[47] = {
4989 437, 272, 272, 272, 272, 272, 272, 409, 410, 410,
4990 410, 272, 272, 272, 272, 437, 411, 272, 272, 272,
4991 272, 272, 272, 272, 272, 272, 410, 412, 272, 272,
4992 272, 272, 272, 272, 410, 272, 272, 272, 272, 272,
4993 272, 272, 272, 272, 272, 272, 437
4994 };
4995
4996 static DfaState st405[47] = {
4997 437, 272, 272, 272, 272, 272, 404, 272, 272, 272,
4998 272, 272, 272, 272, 272, 437, 405, 272, 272, 272,
4999 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5000 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5001 272, 272, 272, 272, 272, 272, 437
5002 };
5003
5004 static DfaState st406[47] = {
5005 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5006 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5007 285, 285, 285, 285, 285, 285, 285, 285, 413, 285,
5008 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5009 272, 272, 272, 272, 272, 272, 437
5010 };
5011
5012 static DfaState st407[47] = {
5013 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5014 285, 414, 285, 272, 272, 437, 272, 272, 272, 272,
5015 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5016 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5017 272, 272, 272, 272, 272, 272, 437
5018 };
5019
5020 static DfaState st408[47] = {
5021 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5022 285, 285, 285, 272, 272, 437, 415, 272, 272, 272,
5023 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5024 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5025 272, 272, 272, 272, 272, 272, 437
5026 };
5027
5028 static DfaState st409[47] = {
5029 437, 272, 272, 272, 272, 272, 272, 416, 410, 410,
5030 410, 272, 272, 272, 272, 437, 411, 272, 272, 272,
5031 272, 272, 272, 272, 272, 272, 410, 412, 272, 272,
5032 272, 272, 272, 272, 410, 272, 272, 272, 272, 272,
5033 272, 272, 272, 272, 272, 272, 437
5034 };
5035
5036 static DfaState st410[47] = {
5037 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5038 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5039 272, 272, 272, 272, 272, 272, 272, 412, 272, 272,
5040 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5041 272, 272, 272, 272, 272, 272, 437
5042 };
5043
5044 static DfaState st411[47] = {
5045 437, 272, 272, 272, 272, 272, 272, 272, 410, 410,
5046 410, 272, 272, 272, 272, 437, 411, 272, 272, 272,
5047 272, 272, 272, 272, 272, 272, 410, 412, 272, 272,
5048 272, 272, 272, 272, 410, 272, 272, 272, 272, 272,
5049 272, 272, 272, 272, 272, 272, 437
5050 };
5051
5052 static DfaState st412[47] = {
5053 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5054 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5055 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5056 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5057 272, 272, 272, 272, 272, 272, 437
5058 };
5059
5060 static DfaState st413[47] = {
5061 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5062 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5063 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5064 285, 285, 285, 417, 285, 285, 285, 285, 272, 272,
5065 272, 272, 272, 272, 272, 272, 437
5066 };
5067
5068 static DfaState st414[47] = {
5069 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5070 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5071 285, 285, 285, 285, 285, 285, 285, 285, 418, 285,
5072 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5073 272, 272, 272, 272, 272, 272, 437
5074 };
5075
5076 static DfaState st415[47] = {
5077 437, 419, 272, 272, 419, 272, 272, 272, 419, 419,
5078 419, 419, 272, 272, 272, 437, 415, 272, 272, 272,
5079 419, 419, 419, 419, 419, 272, 419, 419, 419, 272,
5080 419, 419, 419, 419, 419, 419, 272, 272, 272, 272,
5081 272, 272, 272, 272, 272, 272, 437
5082 };
5083
5084 static DfaState st416[47] = {
5085 437, 272, 272, 272, 272, 272, 272, 272, 410, 410,
5086 410, 272, 272, 272, 272, 437, 411, 272, 272, 272,
5087 272, 272, 272, 272, 272, 272, 410, 412, 272, 272,
5088 272, 272, 272, 272, 410, 272, 272, 272, 272, 272,
5089 272, 272, 272, 272, 272, 272, 437
5090 };
5091
5092 static DfaState st417[47] = {
5093 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5094 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5095 420, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5096 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5097 272, 272, 272, 272, 272, 272, 437
5098 };
5099
5100 static DfaState st418[47] = {
5101 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5102 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5103 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5104 285, 285, 285, 421, 285, 285, 285, 285, 272, 272,
5105 272, 272, 272, 272, 272, 272, 437
5106 };
5107
5108 static DfaState st419[47] = {
5109 437, 419, 272, 272, 419, 272, 272, 272, 419, 419,
5110 419, 419, 272, 272, 272, 437, 272, 272, 272, 272,
5111 419, 419, 419, 419, 419, 272, 419, 419, 419, 272,
5112 419, 419, 419, 419, 419, 419, 272, 272, 272, 272,
5113 272, 272, 272, 272, 272, 272, 437
5114 };
5115
5116 static DfaState st420[47] = {
5117 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5118 285, 285, 285, 272, 272, 437, 422, 272, 272, 272,
5119 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5120 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5121 272, 272, 272, 272, 272, 272, 437
5122 };
5123
5124 static DfaState st421[47] = {
5125 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5126 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5127 423, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5128 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5129 272, 272, 272, 272, 272, 272, 437
5130 };
5131
5132 static DfaState st422[47] = {
5133 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5134 424, 272, 272, 272, 272, 437, 422, 272, 272, 272,
5135 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5136 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5137 272, 272, 272, 272, 272, 272, 437
5138 };
5139
5140 static DfaState st423[47] = {
5141 437, 285, 285, 272, 285, 285, 285, 285, 285, 285,
5142 285, 285, 285, 272, 272, 437, 272, 272, 272, 272,
5143 285, 285, 285, 285, 285, 285, 285, 285, 285, 285,
5144 285, 285, 285, 285, 285, 285, 285, 285, 272, 272,
5145 272, 272, 272, 272, 272, 272, 437
5146 };
5147
5148 static DfaState st424[47] = {
5149 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5150 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5151 272, 272, 272, 272, 272, 272, 425, 272, 272, 272,
5152 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5153 272, 272, 272, 272, 272, 272, 437
5154 };
5155
5156 static DfaState st425[47] = {
5157 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5158 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5159 272, 272, 272, 272, 426, 272, 272, 272, 272, 272,
5160 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5161 272, 272, 272, 272, 272, 272, 437
5162 };
5163
5164 static DfaState st426[47] = {
5165 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5166 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5167 272, 272, 272, 272, 427, 272, 272, 272, 272, 272,
5168 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5169 272, 272, 272, 272, 272, 272, 437
5170 };
5171
5172 static DfaState st427[47] = {
5173 437, 272, 272, 272, 272, 272, 428, 428, 272, 272,
5174 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5175 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5176 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5177 272, 272, 272, 272, 272, 272, 437
5178 };
5179
5180 static DfaState st428[47] = {
5181 437, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5182 272, 272, 272, 272, 272, 437, 272, 272, 272, 272,
5183 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5184 272, 272, 272, 272, 272, 272, 272, 272, 272, 272,
5185 272, 272, 272, 272, 272, 272, 437
5186 };
5187
5188 static DfaState st429[6] = {
5189 430, 431, 432, 433, 434, 437
5190 };
5191
5192 static DfaState st430[6] = {
5193 437, 437, 437, 437, 437, 437
5194 };
5195
5196 static DfaState st431[6] = {
5197 437, 437, 435, 437, 437, 437
5198 };
5199
5200 static DfaState st432[6] = {
5201 437, 436, 437, 437, 437, 437
5202 };
5203
5204 static DfaState st433[6] = {
5205 437, 437, 437, 437, 437, 437
5206 };
5207
5208 static DfaState st434[6] = {
5209 437, 437, 437, 437, 434, 437
5210 };
5211
5212 static DfaState st435[6] = {
5213 437, 437, 437, 437, 437, 437
5214 };
5215
5216 static DfaState st436[6] = {
5217 437, 437, 437, 437, 437, 437
5218 };
5219
5220
5221 DfaState *dfa[437] = {
5222 st0,
5223 st1,
5224 st2,
5225 st3,
5226 st4,
5227 st5,
5228 st6,
5229 st7,
5230 st8,
5231 st9,
5232 st10,
5233 st11,
5234 st12,
5235 st13,
5236 st14,
5237 st15,
5238 st16,
5239 st17,
5240 st18,
5241 st19,
5242 st20,
5243 st21,
5244 st22,
5245 st23,
5246 st24,
5247 st25,
5248 st26,
5249 st27,
5250 st28,
5251 st29,
5252 st30,
5253 st31,
5254 st32,
5255 st33,
5256 st34,
5257 st35,
5258 st36,
5259 st37,
5260 st38,
5261 st39,
5262 st40,
5263 st41,
5264 st42,
5265 st43,
5266 st44,
5267 st45,
5268 st46,
5269 st47,
5270 st48,
5271 st49,
5272 st50,
5273 st51,
5274 st52,
5275 st53,
5276 st54,
5277 st55,
5278 st56,
5279 st57,
5280 st58,
5281 st59,
5282 st60,
5283 st61,
5284 st62,
5285 st63,
5286 st64,
5287 st65,
5288 st66,
5289 st67,
5290 st68,
5291 st69,
5292 st70,
5293 st71,
5294 st72,
5295 st73,
5296 st74,
5297 st75,
5298 st76,
5299 st77,
5300 st78,
5301 st79,
5302 st80,
5303 st81,
5304 st82,
5305 st83,
5306 st84,
5307 st85,
5308 st86,
5309 st87,
5310 st88,
5311 st89,
5312 st90,
5313 st91,
5314 st92,
5315 st93,
5316 st94,
5317 st95,
5318 st96,
5319 st97,
5320 st98,
5321 st99,
5322 st100,
5323 st101,
5324 st102,
5325 st103,
5326 st104,
5327 st105,
5328 st106,
5329 st107,
5330 st108,
5331 st109,
5332 st110,
5333 st111,
5334 st112,
5335 st113,
5336 st114,
5337 st115,
5338 st116,
5339 st117,
5340 st118,
5341 st119,
5342 st120,
5343 st121,
5344 st122,
5345 st123,
5346 st124,
5347 st125,
5348 st126,
5349 st127,
5350 st128,
5351 st129,
5352 st130,
5353 st131,
5354 st132,
5355 st133,
5356 st134,
5357 st135,
5358 st136,
5359 st137,
5360 st138,
5361 st139,
5362 st140,
5363 st141,
5364 st142,
5365 st143,
5366 st144,
5367 st145,
5368 st146,
5369 st147,
5370 st148,
5371 st149,
5372 st150,
5373 st151,
5374 st152,
5375 st153,
5376 st154,
5377 st155,
5378 st156,
5379 st157,
5380 st158,
5381 st159,
5382 st160,
5383 st161,
5384 st162,
5385 st163,
5386 st164,
5387 st165,
5388 st166,
5389 st167,
5390 st168,
5391 st169,
5392 st170,
5393 st171,
5394 st172,
5395 st173,
5396 st174,
5397 st175,
5398 st176,
5399 st177,
5400 st178,
5401 st179,
5402 st180,
5403 st181,
5404 st182,
5405 st183,
5406 st184,
5407 st185,
5408 st186,
5409 st187,
5410 st188,
5411 st189,
5412 st190,
5413 st191,
5414 st192,
5415 st193,
5416 st194,
5417 st195,
5418 st196,
5419 st197,
5420 st198,
5421 st199,
5422 st200,
5423 st201,
5424 st202,
5425 st203,
5426 st204,
5427 st205,
5428 st206,
5429 st207,
5430 st208,
5431 st209,
5432 st210,
5433 st211,
5434 st212,
5435 st213,
5436 st214,
5437 st215,
5438 st216,
5439 st217,
5440 st218,
5441 st219,
5442 st220,
5443 st221,
5444 st222,
5445 st223,
5446 st224,
5447 st225,
5448 st226,
5449 st227,
5450 st228,
5451 st229,
5452 st230,
5453 st231,
5454 st232,
5455 st233,
5456 st234,
5457 st235,
5458 st236,
5459 st237,
5460 st238,
5461 st239,
5462 st240,
5463 st241,
5464 st242,
5465 st243,
5466 st244,
5467 st245,
5468 st246,
5469 st247,
5470 st248,
5471 st249,
5472 st250,
5473 st251,
5474 st252,
5475 st253,
5476 st254,
5477 st255,
5478 st256,
5479 st257,
5480 st258,
5481 st259,
5482 st260,
5483 st261,
5484 st262,
5485 st263,
5486 st264,
5487 st265,
5488 st266,
5489 st267,
5490 st268,
5491 st269,
5492 st270,
5493 st271,
5494 st272,
5495 st273,
5496 st274,
5497 st275,
5498 st276,
5499 st277,
5500 st278,
5501 st279,
5502 st280,
5503 st281,
5504 st282,
5505 st283,
5506 st284,
5507 st285,
5508 st286,
5509 st287,
5510 st288,
5511 st289,
5512 st290,
5513 st291,
5514 st292,
5515 st293,
5516 st294,
5517 st295,
5518 st296,
5519 st297,
5520 st298,
5521 st299,
5522 st300,
5523 st301,
5524 st302,
5525 st303,
5526 st304,
5527 st305,
5528 st306,
5529 st307,
5530 st308,
5531 st309,
5532 st310,
5533 st311,
5534 st312,
5535 st313,
5536 st314,
5537 st315,
5538 st316,
5539 st317,
5540 st318,
5541 st319,
5542 st320,
5543 st321,
5544 st322,
5545 st323,
5546 st324,
5547 st325,
5548 st326,
5549 st327,
5550 st328,
5551 st329,
5552 st330,
5553 st331,
5554 st332,
5555 st333,
5556 st334,
5557 st335,
5558 st336,
5559 st337,
5560 st338,
5561 st339,
5562 st340,
5563 st341,
5564 st342,
5565 st343,
5566 st344,
5567 st345,
5568 st346,
5569 st347,
5570 st348,
5571 st349,
5572 st350,
5573 st351,
5574 st352,
5575 st353,
5576 st354,
5577 st355,
5578 st356,
5579 st357,
5580 st358,
5581 st359,
5582 st360,
5583 st361,
5584 st362,
5585 st363,
5586 st364,
5587 st365,
5588 st366,
5589 st367,
5590 st368,
5591 st369,
5592 st370,
5593 st371,
5594 st372,
5595 st373,
5596 st374,
5597 st375,
5598 st376,
5599 st377,
5600 st378,
5601 st379,
5602 st380,
5603 st381,
5604 st382,
5605 st383,
5606 st384,
5607 st385,
5608 st386,
5609 st387,
5610 st388,
5611 st389,
5612 st390,
5613 st391,
5614 st392,
5615 st393,
5616 st394,
5617 st395,
5618 st396,
5619 st397,
5620 st398,
5621 st399,
5622 st400,
5623 st401,
5624 st402,
5625 st403,
5626 st404,
5627 st405,
5628 st406,
5629 st407,
5630 st408,
5631 st409,
5632 st410,
5633 st411,
5634 st412,
5635 st413,
5636 st414,
5637 st415,
5638 st416,
5639 st417,
5640 st418,
5641 st419,
5642 st420,
5643 st421,
5644 st422,
5645 st423,
5646 st424,
5647 st425,
5648 st426,
5649 st427,
5650 st428,
5651 st429,
5652 st430,
5653 st431,
5654 st432,
5655 st433,
5656 st434,
5657 st435,
5658 st436
5659 };
5660
5661
5662 DfaState accepts[438] = {
5663 0, 1, 2, 3, 4, 4, 13, 41, 58, 0,
5664 0, 12, 14, 15, 16, 17, 18, 20, 22, 24,
5665 25, 26, 34, 36, 42, 43, 44, 45, 46, 47,
5666 48, 49, 50, 51, 52, 58, 0, 0, 0, 4,
5667 0, 58, 0, 0, 0, 0, 0, 0, 0, 11,
5668 27, 55, 53, 28, 19, 21, 23, 31, 33, 38,
5669 63, 56, 62, 61, 35, 39, 37, 40, 58, 57,
5670 59, 77, 77, 77, 77, 77, 77, 77, 77, 5,
5671 5, 60, 7, 8, 9, 10, 29, 30, 32, 61,
5672 58, 57, 77, 77, 77, 77, 77, 77, 77, 77,
5673 77, 77, 77, 5, 0, 60, 58, 77, 77, 77,
5674 77, 77, 77, 77, 77, 77, 77, 77, 77, 0,
5675 54, 74, 77, 77, 77, 77, 77, 77, 77, 77,
5676 77, 77, 77, 77, 6, 75, 77, 77, 77, 77,
5677 77, 77, 77, 77, 77, 77, 77, 77, 66, 77,
5678 64, 0, 77, 0, 77, 77, 77, 77, 77, 66,
5679 66, 77, 64, 64, 67, 77, 72, 0, 77, 77,
5680 77, 77, 77, 67, 77, 72, 73, 0, 77, 70,
5681 77, 77, 77, 73, 0, 77, 77, 0, 77, 0,
5682 76, 77, 77, 0, 77, 77, 77, 0, 0, 0,
5683 0, 77, 77, 77, 0, 0, 0, 77, 77, 77,
5684 0, 0, 77, 77, 77, 0, 0, 0, 71, 77,
5685 77, 0, 0, 77, 77, 65, 77, 77, 0, 77,
5686 0, 69, 0, 0, 0, 68, 0, 78, 83, 83,
5687 81, 82, 79, 80, 0, 84, 85, 85, 85, 85,
5688 87, 87, 88, 89, 90, 91, 92, 95, 95, 0,
5689 0, 98, 99, 100, 0, 95, 0, 103, 95, 94,
5690 96, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5691 102, 101, 97, 95, 94, 100, 100, 100, 100, 100,
5692 100, 100, 100, 100, 100, 100, 101, 97, 95, 100,
5693 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5694 100, 95, 100, 100, 100, 100, 100, 100, 100, 100,
5695 100, 100, 100, 100, 100, 95, 100, 100, 100, 100,
5696 100, 100, 100, 100, 100, 100, 100, 100, 95, 100,
5697 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5698 93, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5699 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5700 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5701 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5702 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5703 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5704 100, 100, 100, 100, 100, 100, 100, 100, 100, 100,
5705 100, 100, 100, 100, 100, 100, 100, 100, 100, 0,
5706 118, 123, 123, 121, 122, 119, 120, 0
5707 };
5708
5709 void (*actions[124])() = {
5710 zzerraction,
5711 act1,
5712 act2,
5713 act3,
5714 act4,
5715 act5,
5716 act6,
5717 act7,
5718 act8,
5719 act9,
5720 act10,
5721 act11,
5722 act12,
5723 act13,
5724 act14,
5725 act15,
5726 act16,
5727 act17,
5728 act18,
5729 act19,
5730 act20,
5731 act21,
5732 act22,
5733 act23,
5734 act24,
5735 act25,
5736 act26,
5737 act27,
5738 act28,
5739 act29,
5740 act30,
5741 act31,
5742 act32,
5743 act33,
5744 act34,
5745 act35,
5746 act36,
5747 act37,
5748 act38,
5749 act39,
5750 act40,
5751 act41,
5752 act42,
5753 act43,
5754 act44,
5755 act45,
5756 act46,
5757 act47,
5758 act48,
5759 act49,
5760 act50,
5761 act51,
5762 act52,
5763 act53,
5764 act54,
5765 act55,
5766 act56,
5767 act57,
5768 act58,
5769 act59,
5770 act60,
5771 act61,
5772 act62,
5773 act63,
5774 act64,
5775 act65,
5776 act66,
5777 act67,
5778 act68,
5779 act69,
5780 act70,
5781 act71,
5782 act72,
5783 act73,
5784 act74,
5785 act75,
5786 act76,
5787 act77,
5788 act78,
5789 act79,
5790 act80,
5791 act81,
5792 act82,
5793 act83,
5794 act84,
5795 act85,
5796 act86,
5797 act87,
5798 act88,
5799 act89,
5800 act90,
5801 act91,
5802 act92,
5803 act93,
5804 act94,
5805 act95,
5806 act96,
5807 act97,
5808 act98,
5809 act99,
5810 act100,
5811 act101,
5812 act102,
5813 act103,
5814 act104,
5815 act105,
5816 act106,
5817 act107,
5818 act108,
5819 act109,
5820 act110,
5821 act111,
5822 act112,
5823 act113,
5824 act114,
5825 act115,
5826 act116,
5827 act117,
5828 act118,
5829 act119,
5830 act120,
5831 act121,
5832 act122,
5833 act123
5834 };
5835
5836 static DfaState dfa_base[] = {
5837 0,
5838 236,
5839 244,
5840 429
5841 };
5842
5843 static unsigned char *b_class_no[] = {
5844 shift0,
5845 shift1,
5846 shift2,
5847 shift3
5848 };
5849
5850 #define ZZINTERACTIVE
5851
5852 static unsigned short zzalternatives[DfaStates+1] = {
5853 1,
5854 0,
5855 1,
5856 0,
5857 1,
5858 1,
5859 0,
5860 0,
5861 1,
5862 1,
5863 1,
5864 1,
5865 0,
5866 1,
5867 1,
5868 1,
5869 1,
5870 1,
5871 1,
5872 1,
5873 1,
5874 0,
5875 1,
5876 1,
5877 0,
5878 0,
5879 0,
5880 0,
5881 0,
5882 0,
5883 0,
5884 0,
5885 0,
5886 0,
5887 0,
5888 1,
5889 1,
5890 1,
5891 1,
5892 1,
5893 1,
5894 1,
5895 1,
5896 1,
5897 1,
5898 1,
5899 1,
5900 1,
5901 1,
5902 0,
5903 1,
5904 0,
5905 0,
5906 1,
5907 0,
5908 0,
5909 0,
5910 1,
5911 0,
5912 0,
5913 0,
5914 0,
5915 0,
5916 1,
5917 0,
5918 0,
5919 0,
5920 0,
5921 1,
5922 1,
5923 1,
5924 1,
5925 1,
5926 1,
5927 1,
5928 1,
5929 1,
5930 1,
5931 1,
5932 1,
5933 1,
5934 1,
5935 1,
5936 1,
5937 1,
5938 1,
5939 0,
5940 0,
5941 0,
5942 1,
5943 1,
5944 1,
5945 1,
5946 1,
5947 1,
5948 1,
5949 1,
5950 1,
5951 1,
5952 1,
5953 1,
5954 1,
5955 1,
5956 1,
5957 1,
5958 1,
5959 1,
5960 1,
5961 1,
5962 1,
5963 1,
5964 1,
5965 1,
5966 1,
5967 1,
5968 1,
5969 1,
5970 1,
5971 1,
5972 1,
5973 1,
5974 1,
5975 1,
5976 1,
5977 1,
5978 1,
5979 1,
5980 1,
5981 1,
5982 1,
5983 1,
5984 1,
5985 1,
5986 1,
5987 1,
5988 1,
5989 1,
5990 1,
5991 1,
5992 1,
5993 1,
5994 1,
5995 1,
5996 1,
5997 1,
5998 1,
5999 1,
6000 1,
6001 1,
6002 1,
6003 1,
6004 1,
6005 1,
6006 1,
6007 1,
6008 1,
6009 1,
6010 1,
6011 1,
6012 1,
6013 1,
6014 1,
6015 1,
6016 1,
6017 1,
6018 1,
6019 1,
6020 1,
6021 1,
6022 1,
6023 1,
6024 1,
6025 1,
6026 1,
6027 1,
6028 1,
6029 1,
6030 1,
6031 1,
6032 1,
6033 1,
6034 1,
6035 1,
6036 1,
6037 1,
6038 1,
6039 1,
6040 1,
6041 1,
6042 1,
6043 0,
6044 1,
6045 1,
6046 1,
6047 1,
6048 1,
6049 1,
6050 1,
6051 1,
6052 1,
6053 1,
6054 1,
6055 1,
6056 1,
6057 1,
6058 1,
6059 1,
6060 1,
6061 1,
6062 1,
6063 1,
6064 1,
6065 1,
6066 1,
6067 1,
6068 1,
6069 1,
6070 1,
6071 0,
6072 1,
6073 1,
6074 1,
6075 1,
6076 1,
6077 1,
6078 1,
6079 1,
6080 1,
6081 1,
6082 1,
6083 1,
6084 1,
6085 1,
6086 1,
6087 1,
6088 0,
6089 1,
6090 0,
6091 1,
6092 1,
6093 0,
6094 1,
6095 0,
6096 0,
6097 1,
6098 0,
6099 1,
6100 0,
6101 1,
6102 0,
6103 1,
6104 1,
6105 0,
6106 0,
6107 1,
6108 0,
6109 0,
6110 1,
6111 1,
6112 1,
6113 1,
6114 0,
6115 0,
6116 1,
6117 1,
6118 1,
6119 1,
6120 0,
6121 1,
6122 1,
6123 1,
6124 1,
6125 1,
6126 1,
6127 1,
6128 1,
6129 1,
6130 1,
6131 1,
6132 1,
6133 0,
6134 1,
6135 1,
6136 1,
6137 1,
6138 1,
6139 1,
6140 1,
6141 1,
6142 1,
6143 1,
6144 1,
6145 1,
6146 1,
6147 1,
6148 1,
6149 1,
6150 1,
6151 1,
6152 1,
6153 1,
6154 1,
6155 1,
6156 1,
6157 1,
6158 1,
6159 1,
6160 1,
6161 1,
6162 1,
6163 1,
6164 1,
6165 1,
6166 1,
6167 1,
6168 1,
6169 1,
6170 1,
6171 1,
6172 1,
6173 1,
6174 1,
6175 1,
6176 1,
6177 1,
6178 1,
6179 1,
6180 1,
6181 1,
6182 1,
6183 1,
6184 1,
6185 1,
6186 1,
6187 1,
6188 1,
6189 1,
6190 1,
6191 1,
6192 1,
6193 1,
6194 1,
6195 1,
6196 1,
6197 1,
6198 1,
6199 1,
6200 1,
6201 1,
6202 1,
6203 1,
6204 1,
6205 1,
6206 1,
6207 1,
6208 1,
6209 1,
6210 1,
6211 1,
6212 1,
6213 1,
6214 1,
6215 1,
6216 1,
6217 1,
6218 1,
6219 1,
6220 1,
6221 1,
6222 1,
6223 1,
6224 1,
6225 1,
6226 1,
6227 1,
6228 1,
6229 1,
6230 1,
6231 1,
6232 1,
6233 1,
6234 1,
6235 1,
6236 1,
6237 1,
6238 1,
6239 1,
6240 1,
6241 1,
6242 1,
6243 1,
6244 1,
6245 1,
6246 1,
6247 1,
6248 1,
6249 1,
6250 1,
6251 1,
6252 1,
6253 1,
6254 1,
6255 1,
6256 1,
6257 1,
6258 1,
6259 1,
6260 1,
6261 1,
6262 1,
6263 1,
6264 1,
6265 1,
6266 1,
6267 1,
6268 1,
6269 1,
6270 1,
6271 1,
6272 1,
6273 1,
6274 1,
6275 1,
6276 1,
6277 1,
6278 1,
6279 1,
6280 1,
6281 1,
6282 1,
6283 0,
6284 1,
6285 1,
6286 0,
6287 1,
6288 0,
6289 0,
6290 /* must have 0 for zzalternatives[DfaStates] */
6291 0
6292 };
6293
6294
6295
6296 #define ZZSHIFT(c) (b_class_no[zzauto][1+c])
6297 #define MAX_MODE 4
6298 #include "dlgauto.h"
0 #ifndef tokens_h
1 #define tokens_h
2 /* tokens.h -- List of labelled tokens and stuff
3 *
4 * Generated from: ./verilog.g
5 *
6 * Terence Parr, Will Cohen, and Hank Dietz: 1989-2001
7 * Purdue University Electrical Engineering
8 * ANTLR Version 1.33MR33
9 */
10 #define zzEOF_TOKEN 1
11
12 #ifdef __USE_PROTOS
13 void v_source_text(void);
14 #else
15 extern void v_source_text();
16 #endif
17
18 #ifdef __USE_PROTOS
19 void v_description(void);
20 #else
21 extern void v_description();
22 #endif
23
24 #ifdef __USE_PROTOS
25 void v_module(void);
26 #else
27 extern void v_module();
28 #endif
29
30 #ifdef __USE_PROTOS
31 void v_module_body(void);
32 #else
33 extern void v_module_body();
34 #endif
35
36 #ifdef __USE_PROTOS
37 void v_list_of_ports(void);
38 #else
39 extern void v_list_of_ports();
40 #endif
41
42 #ifdef __USE_PROTOS
43 void v_port(void);
44 #else
45 extern void v_port();
46 #endif
47
48 #ifdef __USE_PROTOS
49 void v_port_expression(void);
50 #else
51 extern void v_port_expression();
52 #endif
53
54 #ifdef __USE_PROTOS
55 void v_port_reference(void);
56 #else
57 extern void v_port_reference();
58 #endif
59
60 #ifdef __USE_PROTOS
61 void v_module_item(void);
62 #else
63 extern void v_module_item();
64 #endif
65
66 #ifdef __USE_PROTOS
67 void v_udp(void);
68 #else
69 extern void v_udp();
70 #endif
71
72 #ifdef __USE_PROTOS
73 void v_udp_declaration(void);
74 #else
75 extern void v_udp_declaration();
76 #endif
77
78 #ifdef __USE_PROTOS
79 void v_udp_initial_statement(void);
80 #else
81 extern void v_udp_initial_statement();
82 #endif
83
84 #ifdef __USE_PROTOS
85 void v_init_val(void);
86 #else
87 extern void v_init_val();
88 #endif
89
90 #ifdef __USE_PROTOS
91 void v_output_terminal_name(void);
92 #else
93 extern void v_output_terminal_name();
94 #endif
95
96 #ifdef __USE_PROTOS
97 void v_table_definition(void);
98 #else
99 extern void v_table_definition();
100 #endif
101
102 #ifdef __USE_PROTOS
103 void v_table_entries(void);
104 #else
105 extern void v_table_entries();
106 #endif
107
108 #ifdef __USE_PROTOS
109 void v_com_seq_entry(void);
110 #else
111 extern void v_com_seq_entry();
112 #endif
113
114 #ifdef __USE_PROTOS
115 void v_level_symbol(void);
116 #else
117 extern void v_level_symbol();
118 #endif
119
120 #ifdef __USE_PROTOS
121 void v_edge(void);
122 #else
123 extern void v_edge();
124 #endif
125
126 #ifdef __USE_PROTOS
127 void v_fake_edge(void);
128 #else
129 extern void v_fake_edge();
130 #endif
131
132 #ifdef __USE_PROTOS
133 void v_level_symbol2(void);
134 #else
135 extern void v_level_symbol2();
136 #endif
137
138 #ifdef __USE_PROTOS
139 void v_input_list(void);
140 #else
141 extern void v_input_list();
142 #endif
143
144 #ifdef __USE_PROTOS
145 void v_state(void);
146 #else
147 extern void v_state();
148 #endif
149
150 #ifdef __USE_PROTOS
151 void v_next_state(void);
152 #else
153 extern void v_next_state();
154 #endif
155
156 #ifdef __USE_PROTOS
157 void v_task(void);
158 #else
159 extern void v_task();
160 #endif
161
162 #ifdef __USE_PROTOS
163 void v_function(void);
164 #else
165 extern void v_function();
166 #endif
167
168 #ifdef __USE_PROTOS
169 void v_range_or_type(void);
170 #else
171 extern void v_range_or_type();
172 #endif
173
174 #ifdef __USE_PROTOS
175 void v_tf_declaration(void);
176 #else
177 extern void v_tf_declaration();
178 #endif
179
180 #ifdef __USE_PROTOS
181 void v_parameter_declaration(void);
182 #else
183 extern void v_parameter_declaration();
184 #endif
185
186 #ifdef __USE_PROTOS
187 void v_param_assignment(void);
188 #else
189 extern void v_param_assignment();
190 #endif
191
192 #ifdef __USE_PROTOS
193 void v_list_of_param_assignments(void);
194 #else
195 extern void v_list_of_param_assignments();
196 #endif
197
198 #ifdef __USE_PROTOS
199 void v_input_declaration(void);
200 #else
201 extern void v_input_declaration();
202 #endif
203
204 #ifdef __USE_PROTOS
205 void v_output_declaration(void);
206 #else
207 extern void v_output_declaration();
208 #endif
209
210 #ifdef __USE_PROTOS
211 void v_inout_declaration(void);
212 #else
213 extern void v_inout_declaration();
214 #endif
215
216 #ifdef __USE_PROTOS
217 void v_net_chg(void);
218 #else
219 extern void v_net_chg();
220 #endif
221
222 #ifdef __USE_PROTOS
223 void v_nettype(void);
224 #else
225 extern void v_nettype();
226 #endif
227
228 #ifdef __USE_PROTOS
229 void v_expandrange(void);
230 #else
231 extern void v_expandrange();
232 #endif
233
234 #ifdef __USE_PROTOS
235 void v_reg_declaration(void);
236 #else
237 extern void v_reg_declaration();
238 #endif
239
240 #ifdef __USE_PROTOS
241 void v_reg_range(void);
242 #else
243 extern void v_reg_range();
244 #endif
245
246 #ifdef __USE_PROTOS
247 void v_time_declaration(void);
248 #else
249 extern void v_time_declaration();
250 #endif
251
252 #ifdef __USE_PROTOS
253 void v_integer_declaration(void);
254 #else
255 extern void v_integer_declaration();
256 #endif
257
258 #ifdef __USE_PROTOS
259 void v_real_declaration(void);
260 #else
261 extern void v_real_declaration();
262 #endif
263
264 #ifdef __USE_PROTOS
265 void v_event_declaration(void);
266 #else
267 extern void v_event_declaration();
268 #endif
269
270 #ifdef __USE_PROTOS
271 void v_continuous_assign(void);
272 #else
273 extern void v_continuous_assign();
274 #endif
275
276 #ifdef __USE_PROTOS
277 void v_cont_drv(void);
278 #else
279 extern void v_cont_drv();
280 #endif
281
282 #ifdef __USE_PROTOS
283 void v_cont_exr(void);
284 #else
285 extern void v_cont_exr();
286 #endif
287
288 #ifdef __USE_PROTOS
289 void v_cont_dly(void);
290 #else
291 extern void v_cont_dly();
292 #endif
293
294 #ifdef __USE_PROTOS
295 void v_parameter_override(void);
296 #else
297 extern void v_parameter_override();
298 #endif
299
300 #ifdef __USE_PROTOS
301 void v_list_of_variables(void);
302 #else
303 extern void v_list_of_variables();
304 #endif
305
306 #ifdef __USE_PROTOS
307 void v_name_of_variable(void);
308 #else
309 extern void v_name_of_variable();
310 #endif
311
312 #ifdef __USE_PROTOS
313 void v_list_of_register_variables(void);
314 #else
315 extern void v_list_of_register_variables();
316 #endif
317
318 #ifdef __USE_PROTOS
319 void v_register_variable(void);
320 #else
321 extern void v_register_variable();
322 #endif
323
324 #ifdef __USE_PROTOS
325 void v_name_of_register(void);
326 #else
327 extern void v_name_of_register();
328 #endif
329
330 #ifdef __USE_PROTOS
331 void v_name_of_memory(void);
332 #else
333 extern void v_name_of_memory();
334 #endif
335
336 #ifdef __USE_PROTOS
337 void v_name_of_event(void);
338 #else
339 extern void v_name_of_event();
340 #endif
341
342 #ifdef __USE_PROTOS
343 void v_charge_strength(void);
344 #else
345 extern void v_charge_strength();
346 #endif
347
348 #ifdef __USE_PROTOS
349 void v_drive_strength(void);
350 #else
351 extern void v_drive_strength();
352 #endif
353
354 #ifdef __USE_PROTOS
355 void v_strength0(void);
356 #else
357 extern void v_strength0();
358 #endif
359
360 #ifdef __USE_PROTOS
361 void v_strength1(void);
362 #else
363 extern void v_strength1();
364 #endif
365
366 #ifdef __USE_PROTOS
367 void v_range(void);
368 #else
369 extern void v_range();
370 #endif
371
372 #ifdef __USE_PROTOS
373 void v_list_of_assignments(void);
374 #else
375 extern void v_list_of_assignments();
376 #endif
377
378 #ifdef __USE_PROTOS
379 void v_gate_declaration(void);
380 #else
381 extern void v_gate_declaration();
382 #endif
383
384 #ifdef __USE_PROTOS
385 void v_gatetype(void);
386 #else
387 extern void v_gatetype();
388 #endif
389
390 #ifdef __USE_PROTOS
391 void v_gate_drv(void);
392 #else
393 extern void v_gate_drv();
394 #endif
395
396 #ifdef __USE_PROTOS
397 void v_gate_dly(void);
398 #else
399 extern void v_gate_dly();
400 #endif
401
402 #ifdef __USE_PROTOS
403 void v_gate_range(void);
404 #else
405 extern void v_gate_range();
406 #endif
407
408 #ifdef __USE_PROTOS
409 void v_gate_instance(void);
410 #else
411 extern void v_gate_instance();
412 #endif
413
414 #ifdef __USE_PROTOS
415 void v_name_of_gate_instance(void);
416 #else
417 extern void v_name_of_gate_instance();
418 #endif
419
420 #ifdef __USE_PROTOS
421 void v_terminal(void);
422 #else
423 extern void v_terminal();
424 #endif
425
426 #ifdef __USE_PROTOS
427 void v_udp_instantiation(void);
428 #else
429 extern void v_udp_instantiation();
430 #endif
431
432 #ifdef __USE_PROTOS
433 void v_name_of_udp(void);
434 #else
435 extern void v_name_of_udp();
436 #endif
437
438 #ifdef __USE_PROTOS
439 void v_udp_instance(void);
440 #else
441 extern void v_udp_instance();
442 #endif
443
444 #ifdef __USE_PROTOS
445 void v_name_of_udp_instance(void);
446 #else
447 extern void v_name_of_udp_instance();
448 #endif
449
450 #ifdef __USE_PROTOS
451 void v_module_instantiation(void);
452 #else
453 extern void v_module_instantiation();
454 #endif
455
456 #ifdef __USE_PROTOS
457 void v_name_of_module(void);
458 #else
459 extern void v_name_of_module();
460 #endif
461
462 #ifdef __USE_PROTOS
463 void v_parameter_value_assignment(void);
464 #else
465 extern void v_parameter_value_assignment();
466 #endif
467
468 #ifdef __USE_PROTOS
469 void v_module_instance(void);
470 #else
471 extern void v_module_instance();
472 #endif
473
474 #ifdef __USE_PROTOS
475 void v_name_of_instance_opt(void);
476 #else
477 extern void v_name_of_instance_opt();
478 #endif
479
480 #ifdef __USE_PROTOS
481 void v_name_of_instance(void);
482 #else
483 extern void v_name_of_instance();
484 #endif
485
486 #ifdef __USE_PROTOS
487 void v_mod_range(void);
488 #else
489 extern void v_mod_range();
490 #endif
491
492 #ifdef __USE_PROTOS
493 void v_list_of_module_connections(void);
494 #else
495 extern void v_list_of_module_connections();
496 #endif
497
498 #ifdef __USE_PROTOS
499 void v_module_port_connection(void);
500 #else
501 extern void v_module_port_connection();
502 #endif
503
504 #ifdef __USE_PROTOS
505 void v_named_port_connection(void);
506 #else
507 extern void v_named_port_connection();
508 #endif
509
510 #ifdef __USE_PROTOS
511 void v_initial_statement(void);
512 #else
513 extern void v_initial_statement();
514 #endif
515
516 #ifdef __USE_PROTOS
517 void v_always_statement(void);
518 #else
519 extern void v_always_statement();
520 #endif
521
522 #ifdef __USE_PROTOS
523 void v_statement_or_null(void);
524 #else
525 extern void v_statement_or_null();
526 #endif
527
528 #ifdef __USE_PROTOS
529 void v_statement(void);
530 #else
531 extern void v_statement();
532 #endif
533
534 #ifdef __USE_PROTOS
535 void v_assignment(void);
536 #else
537 extern void v_assignment();
538 #endif
539
540 #ifdef __USE_PROTOS
541 void v_block_or_non_assignment(void);
542 #else
543 extern void v_block_or_non_assignment();
544 #endif
545
546 #ifdef __USE_PROTOS
547 void v_blocking_assignment(void);
548 #else
549 extern void v_blocking_assignment();
550 #endif
551
552 #ifdef __USE_PROTOS
553 void v_non_blocking_assignment(void);
554 #else
555 extern void v_non_blocking_assignment();
556 #endif
557
558 #ifdef __USE_PROTOS
559 void v_delay_or_event_control(void);
560 #else
561 extern void v_delay_or_event_control();
562 #endif
563
564 #ifdef __USE_PROTOS
565 void v_delay_or_event_control_stmt(void);
566 #else
567 extern void v_delay_or_event_control_stmt();
568 #endif
569
570 #ifdef __USE_PROTOS
571 void v_case_item(void);
572 #else
573 extern void v_case_item();
574 #endif
575
576 #ifdef __USE_PROTOS
577 void v_seq_block(void);
578 #else
579 extern void v_seq_block();
580 #endif
581
582 #ifdef __USE_PROTOS
583 void v_par_block(void);
584 #else
585 extern void v_par_block();
586 #endif
587
588 #ifdef __USE_PROTOS
589 void v_name_of_block(void);
590 #else
591 extern void v_name_of_block();
592 #endif
593
594 #ifdef __USE_PROTOS
595 void v_block_declaration(void);
596 #else
597 extern void v_block_declaration();
598 #endif
599
600 #ifdef __USE_PROTOS
601 void v_task_enable(void);
602 #else
603 extern void v_task_enable();
604 #endif
605
606 #ifdef __USE_PROTOS
607 void v_name_of_task(void);
608 #else
609 extern void v_name_of_task();
610 #endif
611
612 #ifdef __USE_PROTOS
613 void v_name_of_task_or_block(void);
614 #else
615 extern void v_name_of_task_or_block();
616 #endif
617
618 #ifdef __USE_PROTOS
619 void v_specify_block(void);
620 #else
621 extern void v_specify_block();
622 #endif
623
624 #ifdef __USE_PROTOS
625 void v_constant_expression(void);
626 #else
627 extern void v_constant_expression();
628 #endif
629
630 #ifdef __USE_PROTOS
631 void v_lvalue(void);
632 #else
633 extern void v_lvalue();
634 #endif
635
636 #ifdef __USE_PROTOS
637 void v_expression(void);
638 #else
639 extern void v_expression();
640 #endif
641
642 #ifdef __USE_PROTOS
643 void v_expression2(void);
644 #else
645 extern void v_expression2();
646 #endif
647
648 #ifdef __USE_PROTOS
649 void v_mintypmax_expression(void);
650 #else
651 extern void v_mintypmax_expression();
652 #endif
653
654 #ifdef __USE_PROTOS
655 void v_unary_operator(void);
656 #else
657 extern void v_unary_operator();
658 #endif
659
660 #ifdef __USE_PROTOS
661 void v_binary_operator(void);
662 #else
663 extern void v_binary_operator();
664 #endif
665
666 #ifdef __USE_PROTOS
667 void v_primary(void);
668 #else
669 extern void v_primary();
670 #endif
671
672 #ifdef __USE_PROTOS
673 void v_number(void);
674 #else
675 extern void v_number();
676 #endif
677
678 #ifdef __USE_PROTOS
679 void v_concatenation(void);
680 #else
681 extern void v_concatenation();
682 #endif
683
684 #ifdef __USE_PROTOS
685 void v_multiple_concatenation(void);
686 #else
687 extern void v_multiple_concatenation();
688 #endif
689
690 #ifdef __USE_PROTOS
691 void v_function_call(void);
692 #else
693 extern void v_function_call();
694 #endif
695
696 #ifdef __USE_PROTOS
697 void v_name_of_function(void);
698 #else
699 extern void v_name_of_function();
700 #endif
701
702 #ifdef __USE_PROTOS
703 void v_explist(void);
704 #else
705 extern void v_explist();
706 #endif
707
708 #ifdef __USE_PROTOS
709 void v_fn_expression(void);
710 #else
711 extern void v_fn_expression();
712 #endif
713
714 #ifdef __USE_PROTOS
715 void v_mexplist(void);
716 #else
717 extern void v_mexplist();
718 #endif
719
720 #ifdef __USE_PROTOS
721 void v_mfn_expression(void);
722 #else
723 extern void v_mfn_expression();
724 #endif
725
726 #ifdef __USE_PROTOS
727 void v_identifier(void);
728 #else
729 extern void v_identifier();
730 #endif
731
732 #ifdef __USE_PROTOS
733 void v_identifier_nodot(void);
734 #else
735 extern void v_identifier_nodot();
736 #endif
737
738 #ifdef __USE_PROTOS
739 void v_delay(void);
740 #else
741 extern void v_delay();
742 #endif
743
744 #ifdef __USE_PROTOS
745 void v_delay_control(void);
746 #else
747 extern void v_delay_control();
748 #endif
749
750 #ifdef __USE_PROTOS
751 void v_event_control(void);
752 #else
753 extern void v_event_control();
754 #endif
755
756 #ifdef __USE_PROTOS
757 void v_event_expression(void);
758 #else
759 extern void v_event_expression();
760 #endif
761
762 #ifdef __USE_PROTOS
763 void v_event_expression2(void);
764 #else
765 extern void v_event_expression2();
766 #endif
767
768 #endif
769 extern SetWordType zzerr1[];
770 extern SetWordType zzerr2[];
771 extern SetWordType zzerr3[];
772 extern SetWordType setwd1[];
773 extern SetWordType zzerr4[];
774 extern SetWordType zzerr5[];
775 extern SetWordType zzerr6[];
776 extern SetWordType zzerr7[];
777 extern SetWordType setwd2[];
778 extern SetWordType zzerr8[];
779 extern SetWordType zzerr9[];
780 extern SetWordType zzerr10[];
781 extern SetWordType zzerr11[];
782 extern SetWordType setwd3[];
783 extern SetWordType zzerr12[];
784 extern SetWordType zzerr13[];
785 extern SetWordType zzerr14[];
786 extern SetWordType zzerr15[];
787 extern SetWordType setwd4[];
788 extern SetWordType zzerr16[];
789 extern SetWordType zzerr17[];
790 extern SetWordType zzerr18[];
791 extern SetWordType setwd5[];
792 extern SetWordType zzerr19[];
793 extern SetWordType zzerr20[];
794 extern SetWordType zzerr21[];
795 extern SetWordType setwd6[];
796 extern SetWordType zzerr22[];
797 extern SetWordType zzerr23[];
798 extern SetWordType zzerr24[];
799 extern SetWordType setwd7[];
800 extern SetWordType zzerr25[];
801 extern SetWordType zzerr26[];
802 extern SetWordType zzerr27[];
803 extern SetWordType zzerr28[];
804 extern SetWordType setwd8[];
805 extern SetWordType zzerr29[];
806 extern SetWordType zzerr30[];
807 extern SetWordType zzerr31[];
808 extern SetWordType zzerr32[];
809 extern SetWordType setwd9[];
810 extern SetWordType zzerr33[];
811 extern SetWordType zzerr34[];
812 extern SetWordType zzerr35[];
813 extern SetWordType zzerr36[];
814 extern SetWordType setwd10[];
815 extern SetWordType zzerr37[];
816 extern SetWordType zzerr38[];
817 extern SetWordType setwd11[];
818 extern SetWordType zzerr39[];
819 extern SetWordType zzerr40[];
820 extern SetWordType setwd12[];
821 extern SetWordType zzerr41[];
822 extern SetWordType zzerr42[];
823 extern SetWordType zzerr43[];
824 extern SetWordType zzerr44[];
825 extern SetWordType setwd13[];
826 extern SetWordType zzerr45[];
827 extern SetWordType zzerr46[];
828 extern SetWordType zzerr47[];
829 extern SetWordType setwd14[];
830 extern SetWordType zzerr48[];
831 extern SetWordType zzerr49[];
832 extern SetWordType zzerr50[];
833 extern SetWordType setwd15[];
834 extern SetWordType zzerr51[];
835 extern SetWordType zzerr52[];
836 extern SetWordType setwd16[];
837 extern SetWordType zzerr53[];
838 extern SetWordType zzerr54[];
839 extern SetWordType zzerr55[];
840 extern SetWordType zzerr56[];
841 extern SetWordType setwd17[];
842 extern SetWordType zzerr57[];
843 extern SetWordType zzerr58[];
844 extern SetWordType zzerr59[];
845 extern SetWordType setwd18[];
846 extern SetWordType zzerr60[];
847 extern SetWordType setwd19[];
848 extern SetWordType zzerr61[];
849 extern SetWordType zzerr62[];
850 extern SetWordType zzerr63[];
851 extern SetWordType zzerr64[];
852 extern SetWordType setwd20[];
853 extern SetWordType zzerr65[];
854 extern SetWordType zzerr66[];
855 extern SetWordType zzerr67[];
856 extern SetWordType zzerr68[];
857 extern SetWordType setwd21[];
858 extern SetWordType zzerr69[];
859 extern SetWordType zzerr70[];
860 extern SetWordType zzerr71[];
861 extern SetWordType setwd22[];
862 extern SetWordType zzerr72[];
863 extern SetWordType zzerr73[];
864 extern SetWordType zzerr74[];
865 extern SetWordType setwd23[];
866 extern SetWordType zzerr75[];
867 extern SetWordType zzerr76[];
868 extern SetWordType zzerr77[];
869 extern SetWordType zzerr78[];
870 extern SetWordType setwd24[];
871 extern SetWordType zzerr79[];
872 extern SetWordType zzerr80[];
873 extern SetWordType zzerr81[];
874 extern SetWordType zzerr82[];
875 extern SetWordType setwd25[];
876 extern SetWordType zzerr83[];
877 extern SetWordType zzerr84[];
878 extern SetWordType zzerr85[];
879 extern SetWordType zzerr86[];
880 extern SetWordType setwd26[];
881 extern SetWordType zzerr87[];
882 extern SetWordType zzerr88[];
883 extern SetWordType zzerr89[];
884 extern SetWordType zzerr90[];
885 extern SetWordType zzerr91[];
886 extern SetWordType zzerr92[];
887 extern SetWordType setwd27[];
888 extern SetWordType zzerr93[];
889 extern SetWordType setwd28[];
890 extern SetWordType zzerr94[];
891 extern SetWordType zzerr95[];
892 extern SetWordType zzerr96[];
893 extern SetWordType zzerr97[];
894 extern SetWordType setwd29[];
895 extern SetWordType zzerr98[];
896 extern SetWordType zzerr99[];
897 extern SetWordType zzerr100[];
898 extern SetWordType setwd30[];
0 /*
1 * A n t l r T r a n s l a t i o n H e a d e r
2 *
3 * Terence Parr, Will Cohen, and Hank Dietz: 1989-2001
4 * Purdue University Electrical Engineering
5 * With AHPCRC, University of Minnesota
6 * ANTLR Version 1.33MR33
7 *
8 * ../pccts/antlr/antlr -ga -k 2 -gl ./verilog.g
9 *
10 */
11
12 #define ANTLR_VERSION 13333
13 #include "pcctscfg.h"
14 #include "pccts_stdio.h"
15 #line 1 "./verilog.g"
16
17
18 /*
19 * $Id: verilog.g,v 1.6 2009/01/13 22:39:24 gtkwave Exp $
20 * $Log: verilog.g,v $
21 * Revision 1.6 2009/01/13 22:39:24 gtkwave
22 * compile fixes for mingw
23 *
24 * Revision 1.5 2008/11/12 19:49:42 gtkwave
25 * changed usage of usize
26 *
27 * Revision 1.4 2008/11/10 13:46:07 gtkwave
28 * update to task enable in vermin grammar to handle hierarchical identifiers
29 *
30 * Revision 1.3 2008/11/08 15:39:11 gtkwave
31 * updated -f argument file handling in vermin
32 *
33 * Revision 1.2 2007/11/25 01:42:36 gtkwave
34 * added named parameter support to module instantiations in the vermin
35 * parser. (this is a verilog 2001 feature.)
36 *
37 * Revision 1.1.1.1 2007/05/30 04:25:47 gtkwave
38 * Imported sources
39 *
40 * Revision 1.1 2007/04/21 21:08:51 gtkwave
41 * changed from vertex to vermin
42 *
43 * Revision 1.2 2007/04/20 02:08:11 gtkwave
44 * initial release
45 *
46 */
47
48 #include "attrib.h"
49 #include "vpp_common.h"
50
51 #if defined __MINGW32__ || defined _MSC_VER
52 #define realpath(N,R) _fullpath((R),(N),_MAX_PATH)
53 #endif
54
55 int zzcr_attr(Attrib *attr, int token, char *text);
56 void vpp_update_yyfilename(const char *str);
57 void vpp_update_yylineno(const char *str);
58
59
60 #define LL_K 2
61 #define zzSET_SIZE 28
62 #include "antlr.h"
63 #include "keyword_tokens.h"
64 #include "tokens.h"
65 #include "dlgdef.h"
66 #include "mode.h"
67
68 /* MR23 In order to remove calls to PURIFY use the antlr -nopurify option */
69
70 #ifndef PCCTS_PURIFY
71 #define PCCTS_PURIFY(r,s) memset((char *) &(r),'\0',(s));
72 #endif
73
74 ANTLR_INFO
75 #line 48 "./verilog.g"
76
77 #include "../../config.h"
78 #include <stdio.h>
79 #include <stdlib.h>
80 #include <sys/param.h>
81
82 #include "wave_locale.h"
83
84 #include "inter.h"
85 #include "tokens.h"
86 #include "shred.h"
87 #include "symbol.h"
88 #include "jrb.h"
89 #include "vpp_common.h"
90
91 #ifndef PATH_MAX
92 #define PATH_MAX (4096)
93 #endif
94
95 int verilog_keyword_code(const char *s, unsigned int len); /* returns token value from gperf */
96 void args_expand(int argc, char **argv, int *new_argc, char ***new_argv);
97
98 int zzerrors=0;
99 int zzcomment_start=0, zzcomment_depth=0, zzcomment_entry=0;
100 char *zzfilename=NULL;
101
102 JRB modname_tree=NULL;
103 int module_is_duplicate=0;
104
105 char *mod_current_name = NULL;
106 char *mod_current_filename = NULL;
107 int mod_start_line = 0;
108
109 char *udp_current_name = NULL;
110 char *udp_current_filename = NULL;
111 int udp_start_line = 0;
112
113 char *comp_type_name = NULL;
114
115 int emit_stems = 0, emit_vars = 0;
116
117 static void add_string_to_tree(JRB tree, char *s, int exists)
118 {
119 Jval val;
120 JRB node;
121
122 if(!(node=jrb_find_str(tree, s)))
123 {
124 val.i = (exists!=0);
125 jrb_insert_str(tree, s, val);
126 }
127 else
128 {
129 node->val.i |= (exists!=0);
130 }
131 }
132
133 char *prevlineroot=NULL;
134 char *prevprevlineroot=NULL;
135 char *lineroot=NULL, *linepos=NULL;
136 int linemaxlen=0;
137 int zzrewind=0;
138
139 /*
140 * add token to the analyzed verilog buffer..
141 */
142 void addtoken(int is_newline)
143 {
144 if(!is_newline)
145 {
146 zzrewind = strlen(zztext);
147
148 if((linepos-lineroot)+zzrewind >= linemaxlen)
149 {
150 char *t=realloc(lineroot, linemaxlen = 2*(linemaxlen+zzrewind)+1);
151 prevlineroot=realloc(prevlineroot, linemaxlen);
152 prevprevlineroot=realloc(prevprevlineroot, linemaxlen);
153 linepos = (linepos-lineroot) + t;
154 lineroot = t;
155 }
156
157 strcpy(linepos,zztext);
158 linepos+=zzrewind;
159 }
160 else
161 {
162 char *t=prevprevlineroot; /* scroll the buffer ptrs to avoid copying */
163 prevprevlineroot = prevlineroot;
164 prevlineroot = lineroot;
165 linepos = lineroot = t;
166 *linepos=0;
167 zzline++;
168 }
169 }
170
171 void report_error_context(int tok, char *badtok)
172 {
173 char *ch, v;
174 int col=0;
175
176 ch=lineroot;
177
178 do
179 {
180 v=*(ch++);
181 switch(v)
182 {
183 case '\t': col=(col+8)&(~0x07); break;
184 case '\n': col=2; break;
185 default: col++; break;
186 }
187 } while(v);
188
189 col-=zzrewind; if(col<2) col=2;
190
191 if(tok!=zzEOF_TOKEN)
192 {
193 warn(" Local context near token '%s' in '%s' on line %d.%d:\n",badtok,zzfilename, zzline,col-1);
194 }
195 else
196 {
197 warn(" Local context preceeding EOF in '%s' on line %d.%d:\n",zzfilename, zzline,col-1);
198 }
199 if(zzline>2)
200 {
201 warn("%6d: %s\n", zzline-2, prevprevlineroot);
202 }
203 if(zzline>1)
204 {
205 warn("%6d: %s\n", zzline-1, prevlineroot);
206 }
207
208 if(col>2)
209 {
210 warn("%6d: %s\n\t%*s^\n", zzline, lineroot, col-2, "");
211 }
212 else
213 {
214 warn("%6d: %s\n\t^\n", zzline, lineroot);
215 }
216 }
217
218
219 int do_not_translate = 0, do_not_translate_mask = 0;
220
221 void warn_about_translation_off(void)
222 {
223 if(do_not_translate)
224 {
225 warn("** Warning: source code translation off for { %s%s%s%s%s} at EOF in '%s'.\n",
226 (do_not_translate&STMODE_XLATEOFF_IFDEF) ? "ifdef " : "",
227 (do_not_translate&STMODE_XLATEOFF_SYNOPSYS) ? "synopsys " : "",
228 (do_not_translate&STMODE_XLATEOFF_SYNTHESIS) ? "synthesis " : "",
229 (do_not_translate&STMODE_XLATEOFF_VERILINT) ? "verilint " : "",
230 (do_not_translate&STMODE_XLATEOFF_VERTEX) ? "vertex " : "",
231 zzfilename);
232
233 do_not_translate = 0;
234 }
235
236 if(ifdef_stack_top)
237 {
238 struct ifdef_stack_t *is = ifdef_stack_top;
239
240 while(ifdef_stack_top)
241 {
242 is=ifdef_stack_top;
243
244 warn("** Warning: pending `if%sdef %s at EOF, start is file '%s' line %d.\n",
245 is->polarity ? "" : "n",
246 is->deftext,
247 is->zzfilename, is->zzline);
248
249 free(is->zzfilename);
250 free(is->deftext);
251 ifdef_stack_top = is->next;
252 free(is);
253 }
254
255 include_stack_depth = 0;
256 }
257
258 if(zzcomment_depth)
259 {
260 mesg("** Error: Unterminated comment starts at line %d in '%s'.\n", zzcomment_start, zzfilename);
261 zzcomment_depth = 0;
262 }
263 }
264
265
266 void parsecomment(void)
267 {
268 char *tok=strdup(zztext);
269 char *tok_sav=tok;
270 strcpy(tok, zztext);
271
272 tok = strtok(tok,"/ \t");
273 if (tok != 0) {
274 if (!strcmp("vpp_file", tok))
275 {
276 tok = strtok(NULL, " \t");
277 if(tok) vpp_update_yyfilename(tok);
278 }
279 else
280 if (!strcmp("vpp_line", tok))
281 {
282 tok = strtok(NULL, "");
283 if(tok) vpp_update_yylineno(tok);
284 }
285 else
286 if(!(do_not_translate&STMODE_XLATEOFF_IFDEF)) /* make sure preprocessed block is active */
287 {
288 if ((!strcmp("synopsys", tok))&&(do_not_translate_mask & STMODE_XLATEOFF_SYNOPSYS))
289 {
290 tok = strtok(NULL, " \t");
291 if(tok)
292 {
293 if(!strcmp("translate_on", tok))
294 {
295 do_not_translate &= ~(STMODE_XLATEOFF_SYNOPSYS);
296 }
297 else
298 if(!strcmp("translate_off", tok))
299 {
300 do_not_translate |= (do_not_translate_mask & STMODE_XLATEOFF_SYNOPSYS);
301 }
302 else
303 {
304 warn("** Warning: unsupported synopsys pragma '%s' on line %d in file '%s', skipping.\n",
305 tok, zzline, zzfilename);
306 }
307 }
308 }
309 else
310 if ((!strcmp("synthesis", tok))&&(do_not_translate_mask & STMODE_XLATEOFF_SYNTHESIS))
311 {
312 tok = strtok(NULL, " \t");
313 if(tok)
314 {
315 if(!strcmp("translate_on", tok))
316 {
317 do_not_translate &= ~(STMODE_XLATEOFF_SYNTHESIS);
318 }
319 else
320 if(!strcmp("translate_off", tok))
321 {
322 do_not_translate |= (do_not_translate_mask & STMODE_XLATEOFF_SYNTHESIS);
323 }
324 else
325 {
326 warn("** Warning: unsupported synthesis pragma '%s' on line %d in file '%s', skipping.\n",
327 tok, zzline, zzfilename);
328 }
329 }
330 }
331 else
332 if ((!strcmp("verilint", tok))&&(do_not_translate_mask & STMODE_XLATEOFF_VERILINT))
333 {
334 tok = strtok(NULL, " \t");
335 if(tok)
336 {
337 if(!strcmp("translate", tok))
338 {
339 tok = strtok(NULL, " \t");
340 if(tok)
341 {
342 if(!strcmp("on", tok))
343 {
344 do_not_translate &= ~(STMODE_XLATEOFF_VERILINT);
345 }
346 else
347 if(!strcmp("off", tok))
348 {
349 do_not_translate |= (do_not_translate_mask & STMODE_XLATEOFF_VERILINT);
350 }
351 else
352 {
353 warn("** Warning: unsupported translate option '%s' on line %d in file '%s', skipping.\n",
354 tok, zzline, zzfilename);
355 }
356 }
357 }
358 }
359 }
360 else
361 if ((!strcmp("vertex", tok))&&(do_not_translate_mask & STMODE_XLATEOFF_VERTEX))
362 {
363 tok = strtok(NULL, " \t");
364 if(tok)
365 {
366 if(!strcmp("translate", tok))
367 {
368 tok = strtok(NULL, " \t");
369 if(tok)
370 {
371 if(!strcmp("on", tok))
372 {
373 do_not_translate &= ~(STMODE_XLATEOFF_VERTEX);
374 }
375 else
376 if(!strcmp("off", tok))
377 {
378 do_not_translate |= (do_not_translate_mask & STMODE_XLATEOFF_VERTEX);
379 }
380 else
381 {
382 warn("** Warning: unsupported translate option '%s' on line %d in file '%s', skipping.\n",
383 tok, zzline, zzfilename);
384 }
385 }
386 }
387 }
388 }
389 }
390 }
391
392 free(tok_sav);
393 }
394
395
396 void
397 zzsyn(char *text, int tok, char *egroup, SetWordType *eset, int etok, int k, char *bad_text)
398 {
399 if(tok!=zzEOF_TOKEN)
400 {
401 mesg("** Syntax error at \"%s\"", bad_text);
402 }
403 else
404 {
405 mesg("** Syntax error at EOF");
406 }
407 if ( !etok && !eset ) {warn("\n"); return;}
408 if ( k==1 ) warn(" missing");
409 else
410 {
411 warn("; \"%s\" not", bad_text);
412 if ( zzset_deg(eset)>1 ) warn(" in");
413 }
414 if ( zzset_deg(eset)>0 ) zzedecode(eset);
415 else warn(" %s", zztokens[etok]);
416 if ( strlen(egroup) > 0 ) warn(" in %s", egroup);
417 warn("\n");
418 report_error_context(tok, bad_text);
419 }
420
421
422 int zzcr_attr(Attrib *attr, int token, char *text)
423 {
424 int len;
425
426 switch(token)
427 {
428 case V_FUNCTION_NAME:
429 case V_IDENTIFIER:
430 case V_IDENTIFIER2:
431 case V_IDENDOT:
432 attr->symbol=sym_add(text);
433 break;
434
435 case V_DBASE:
436 case V_BBASE:
437 case V_OBASE:
438 case V_HBASE:
439 attr->text=strdup(text);
440 break;
441
442 case V_STRING:
443 len = strlen(text);
444 text[len-1]=0;
445 strcpy(attr->text = malloc(len-2+1), text+1);
446 break;
447
448 case V_DECIMAL_NUMBER:
449 attr->ival=atoi_with_underscores(text);
450 break;
451 case V_FLOAT1:
452 case V_FLOAT2:
453 sscanf(text, "%lf", &attr->rval);
454 break;
455
456 default:
457 attr->ival=0;
458 }
459 return(0);
460 }
461
462
463 int main(int argc, char **argv)
464 {
465 int v_argc;
466 char **v_argv;
467 int i;
468 struct vpp_filename *head=NULL, *curr=NULL, *t, *e;
469 struct vpp_filename *lib_head=NULL, *lib_curr=NULL;
470 struct vpp_filename *libext_head=NULL, *libext_curr=NULL;
471 JRB node;
472 int maxarg = v_argc - 1;
473
474 WAVE_LOCALE_FIX
475
476 args_expand(argc, argv, &v_argc, &v_argv);
477 maxarg = v_argc - 1;
478
479 modname_tree=make_jrb();
480 define_tree=make_jrb();
481
482 lineroot=linepos=(char *)calloc(1, linemaxlen=16);
483 prevlineroot=(char *)calloc(1, linemaxlen);
484 prevprevlineroot=(char *)calloc(1, linemaxlen);
485
486 if(v_argc==1)
487 {
488 warn(VERNAME"\n"
489 "No files to process (use -h for help), exiting.\n\n");
490 exit(0);
491 }
492
493 warn(VERNAME"\n\n");
494
495 for(i=1;i<v_argc;i++)
496 {
497 int arglen = strlen(v_argv[i]);
498
499 if((!strcmp(v_argv[i], "-y"))||(!strcmp(v_argv[i], "-yi")))
500 {
501 if(i==maxarg)
502 {
503 warn("** Missing filename after %s option!\n", v_argv[i]);
504 }
505 else
506 {
507 t=calloc(1, sizeof(struct vpp_filename));
508 t->name = strdup(v_argv[++i]);
509
510 if(v_argv[i-1][2]) /* isn't nullchar so it's 'i' */
511 {
512 dirscan(t->name, t); /* it's a case-insensitive directory, so scan it in */
513 }
514
515 if(!lib_head)
516 {
517 lib_head=lib_curr=t;
518 }
519 else
520 {
521 lib_curr->next = t;
522 lib_curr = t;
523 }
524 }
525 }
526 else
527 if(!strcmp(v_argv[i], "-emitmono"))
528 {
529 if(i==maxarg)
530 {
531 warn("** Missing filename after -emitmono option!\n");
532 }
533 else
534 {
535 i++;
536
537 if(mgetchar_fout)
538 {
539 warn("** Ignoring extra -emitmono specification for '%s'.\n", v_argv[i]);
540 }
541 else
542 {
543 mgetchar_fout = fopen(v_argv[i], "wb");
544 if(!mgetchar_fout)
545 {
546 mesg("** Could not open -emitmono file '%s', exiting.\n", v_argv[i]);
547 perror("Why");
548 exit(255);
549 }
550 }
551 }
552 }
553 else
554 if(!strcmp(v_argv[i], "-pragma"))
555 {
556 if(i==maxarg)
557 {
558 warn("** Missing typename after -pragma option!\n");
559 }
560 else
561 {
562 i++;
563 if(!strcmp(v_argv[i], "synopsys"))
564 {
565 do_not_translate_mask |= STMODE_XLATEOFF_SYNOPSYS;
566 }
567 else
568 if(!strcmp(v_argv[i], "synthesis"))
569 {
570 do_not_translate_mask |= STMODE_XLATEOFF_SYNTHESIS;
571 }
572 else
573 if(!strcmp(v_argv[i], "verilint"))
574 {
575 do_not_translate_mask |= STMODE_XLATEOFF_VERILINT;
576 }
577 else
578 if(!strcmp(v_argv[i], "vertex"))
579 {
580 do_not_translate_mask |= STMODE_XLATEOFF_VERTEX;
581 }
582 else
583 {
584 warn("** Unknown -pragma type '%s', ignoring.\n", v_argv[i]);
585 }
586 }
587 }
588 else
589 if((!strcmp(v_argv[i], "-h"))||(!strcmp(v_argv[i], "-help")))
590 {
591 warn( "Usage:\n"
592 "------\n"
593 "%s [input filename(s)] [options]\n\n"
594 "-h[elp] prints this screen\n"
595 "-emitmono fname emit monolithic (parser view of) file to fname\n"
596 "-emitstems emit source code stems to stdout\n"
597 "-emitvars emit source code variables to stdout\n"
598 "-Dx=y equivalent to `define X Y in source\n"
599 "+define+x=y equivalent to `define X Y in source\n"
600 "+incdir+dirname add dirname to include search path\n"
601 "+libext+ext add ext to filename when searching for files\n"
602 "-pragma name add name (synopsys, synthesis, verilint, vertex) to accepted pragmas\n"
603 "-y dirname add directory to source input path\n"
604 "-yi dirname add directory to source input path (case insensitive search)\n"
605 "-f filename insert args from filename (does not work recursively)\n"
606 "\n", v_argv[0]
607 );
608 exit(0);
609 }
610 else
611 if(!strcmp(v_argv[i], "-f"))
612 {
613 warn("** Cannot nest -f option inside an args file, exiting.\n");
614 exit(255);
615 }
616 else
617 if(!strcmp(v_argv[i], "-emitstems"))
618 {
619 emit_stems = 1;
620 }
621 else
622 if(!strcmp(v_argv[i], "-emitvars"))
623 {
624 emit_vars = 1;
625 }
626 else
627 if((arglen>=8)&&(!strncmp(v_argv[i],"+incdir+",8)))
628 {
629 if(arglen==8)
630 {
631 warn("** Missing path for +incdir+ in command line argument %d, ignoring.\n", i);
632 }
633 else
634 {
635 char *lname=(char *)malloc(arglen-8+1);
636 char *tok;
637
638 strcpy(lname, v_argv[i]+8);
639 tok=strtok(lname,"+");
640
641 while(tok)
642 {
643 int toklen=strlen(tok);
644 if(!toklen)
645 {
646 /* strtok seems to never hit this */
647 warn("** Missing path for +incdir+ (consecutive ++) in command line argument %d, ignoring.\n", i);
648 }
649 else
650 {
651 if(!incchain)
652 {
653 struct vpp_filename *l;
654 l=(struct vpp_filename *)calloc(1,sizeof(struct vpp_filename));
655 strcpy(l->name=malloc(toklen+1), tok);
656
657 incchain=l;
658 }
659 else
660 {
661 struct vpp_filename *l=incchain;
662 struct vpp_filename *l2;
663
664 while(l->next) l=l->next;
665
666 l2=(struct vpp_filename *)calloc(1,sizeof(struct vpp_filename));
667 strcpy(l2->name=malloc(toklen+1), tok);
668 l->next=l2;
669 }
670 }
671
672 tok=strtok(NULL, "+");
673 }
674
675 free(lname);
676 }
677 }
678 else
679 if((arglen>=8)&&(!strncmp(v_argv[i],"+define+",8)))
680 {
681 if(arglen==8)
682 {
683 warn("** Missing value for +define+ in command line argument %d, ignoring.\n", i);
684 }
685 else
686 {
687 char *lname=(char *)malloc(arglen-8+1);
688 char *tok;
689
690 strcpy(lname, v_argv[i]+8);
691 tok=strtok(lname,"+");
692
693 while(tok)
694 {
695 int toklen=strlen(tok);
696 if(!toklen)
697 {
698 /* strtok seems to never hit this */
699 warn("** Missing value for +define+ (consecutive ++) in command line argument %d, ignoring.\n", i);
700 }
701 else
702 {
703 char *dname=(char *)malloc(toklen+8+1);
704 char *pnt;
705 sprintf(dname, "`define %s", tok);
706 pnt = dname+8;
707 while(*pnt)
708 {
709 if(*pnt=='=')
710 {
711 *pnt=' ';
712 break;
713 }
714 pnt++;
715 }
716 store_define(dname);
717 free(dname);
718 }
719
720 tok=strtok(NULL, "+");
721 }
722
723 free(lname);
724 }
725 }
726 else
727 if((arglen>=8)&&(!strncmp(v_argv[i],"+libext+",8)))
728 {
729 if(arglen==8)
730 {
731 warn("** Missing extension for +libext+ in command line argument %d, ignoring.\n", i);
732 }
733 else
734 {
735 char *lname=(char *)malloc(arglen-8+1);
736 char *tok;
737 strcpy(lname, v_argv[i]+8);
738
739 tok=strtok(lname,"+");
740 while(tok)
741 {
742 int toklen=strlen(tok);
743 if(!toklen)
744 {
745 /* strtok seems to never hit this */
746 warn("** Missing path for +libext+ (consecutive ++) in command line argument %d, ignoring.\n", i);
747 }
748 else
749 {
750 if(!libext_curr)
751 {
752 struct vpp_filename *l;
753 l=(struct vpp_filename *)calloc(1,sizeof(struct vpp_filename));
754 strcpy(l->name=malloc(toklen+1), tok);
755 libext_head=libext_curr=l;
756 }
757 else
758 {
759 struct vpp_filename *l;
760
761 l=(struct vpp_filename *)calloc(1,sizeof(struct vpp_filename));
762 strcpy(l->name=malloc(toklen+1), tok);
763 libext_curr->next=l;
764 libext_curr=l;
765 }
766 }
767
768 tok=strtok(NULL, "+");
769 }
770 free(lname);
771 }
772 }
773 else
774 if((arglen>=2)&&(v_argv[i][0] == '+'))
775 {
776 warn("** Skipping plusarg '%s' in command line argument %d.\n", v_argv[i], i);
777 }
778 else
779 if((arglen>=2)&&(!strncmp(v_argv[i],"-D",2)))
780 {
781 if(arglen==2)
782 {
783 warn("** Missing define for -D in command line argument %d, ignoring.\n", i);
784 }
785 else
786 {
787 char *dname=(char *)malloc(arglen-2+8+1);
788 char *pnt;
789 sprintf(dname, "`define %s", v_argv[i]+2);
790 pnt = dname+8;
791 while(*pnt)
792 {
793 if(*pnt=='=')
794 {
795 *pnt=' ';
796 break;
797 }
798 pnt++;
799 }
800 store_define(dname);
801 free(dname);
802 }
803 }
804 else /* filename only.. */
805 {
806 t=calloc(1, sizeof(struct vpp_filename));
807 t->name = strdup(v_argv[i]);
808
809 if(!head)
810 {
811 head=curr=t;
812 vlog_filenames = head;
813 }
814 else
815 {
816 curr->next = t;
817 curr = t;
818 }
819 }
820 }
821
822 shred_alloc();
823
824 prevprevlineroot[0]=prevlineroot[0]=lineroot[0]=0; linepos=lineroot; do_not_translate = 0;
825 ANTLRf(v_source_text(), mgetchar_fout ? mgetchar_outhandle : mgetchar);
826 warn_about_translation_off();
827 zzerrors+=zzLexErrCount;
828 if(zzerrors)
829 {
830 warn("\n** %d error%s detected, exiting.\n\n",zzerrors,(zzerrors>1)?"s were":" was");
831 exit(255);
832 }
833
834 do {
835 i=0;
836 jrb_traverse(node, modname_tree)
837 {
838 if(node->val.i==0)
839 {
840 FILE *hand;
841 int len = strlen(node->key.s);
842 int resolve = 0;
843 char *buff;
844 t=lib_head;
845
846 while(t)
847 {
848 e=libext_head;
849 do
850 {
851 char *ext=e?e->name:"";
852 buff = (char *)malloc(strlen(t->name)+1+len+strlen(ext)+1);
853 sprintf(buff, "%s/%s%s", t->name, node->key.s, ext);
854 hand = fopen(buff, "r");
855 if(hand)
856 {
857 warn("Processing file '%s' ...\n", buff);
858 vpp_main(hand, buff);
859 prevprevlineroot[0]=prevlineroot[0]=lineroot[0]=0; linepos=lineroot; do_not_translate = 0;
860 ANTLRf(v_source_text(), mgetchar_fout ? mgetchar_outhandle : mgetchar); /* preproc.c will do fclose() */
861 warn_about_translation_off();
862 free(buff);
863 zzerrors+=zzLexErrCount;
864 if(zzerrors)
865 {
866 warn("\n** %d error%s detected, exiting.\n\n",zzerrors,(zzerrors>1)?"s were":" was");
867 exit(255);
868 }
869 i=1;
870 resolve=1;
871 goto is_resolved;
872 }
873 free(buff);
874 } while((e)&&(e=e->next));
875
876 t=t->next;
877 }
878
879 t=lib_head;
880
881 while(t)
882 {
883 if(t->numchildren)
884 {
885 e=libext_head;
886 do
887 {
888 char **realname;
889 char *ext=e?e->name:"";
890 buff = (char *)malloc(len+strlen(ext)+1);
891 sprintf(buff, "%s%s", node->key.s, ext);
892 realname = bsearch(buff, t->children, t->numchildren, sizeof(char **), compar_cstarstar_bsearch);
893 free(buff);
894 if(realname)
895 {
896 buff = (char *)malloc(strlen(t->name)+1+strlen(*realname)+1);
897 sprintf(buff, "%s/%s", t->name, *realname);
898
899 hand = fopen(buff, "r");
900 if(hand)
901 {
902 warn("Processing file '%s' ...\n", buff);
903 vpp_main(hand, buff);
904 prevprevlineroot[0]=prevlineroot[0]=lineroot[0]=0; linepos=lineroot; do_not_translate = 0;
905 ANTLRf(v_source_text(), mgetchar_fout ? mgetchar_outhandle : mgetchar); /* preproc.c will do fclose() */
906 warn_about_translation_off();
907 free(buff);
908 zzerrors+=zzLexErrCount;
909 if(zzerrors)
910 {
911 warn("\n** %d error%s detected, exiting.\n\n",zzerrors,(zzerrors>1)?"s were":" was");
912 exit(255);
913 }
914 i=1;
915 resolve=1;
916 goto is_resolved;
917 }
918 free(buff);
919 }
920 } while((e)&&(e=e->next));
921 }
922
923 t=t->next;
924 }
925
926 is_resolved: if(!resolve)
927 {
928 warn("** Error: could not find module '%s'\n", node->key.s);
929 }
930
931 node->val.i=1;
932 }
933 }
934 } while(i==1);
935
936 zzerrors+=zzLexErrCount;
937 if(zzerrors)
938 {
939 warn("\n** %d error%s detected, exiting.\n\n",zzerrors,(zzerrors>1)?"s were":" was");
940 exit(255);
941 }
942
943 shred_free();
944 return(0);
945 }
946
947
948
949
950
951 void
952 #ifdef __USE_PROTOS
953 v_source_text(void)
954 #else
955 v_source_text()
956 #endif
957 {
958 #line 1114 "./verilog.g"
959 zzRULE;
960 zzBLOCK(zztasp1);
961 zzMake0;
962 {
963 #line 1114 "./verilog.g"
964 {
965 zzBLOCK(zztasp2);
966 zzMake0;
967 {
968 while ( (setwd1[LA(1)]&0x1) ) {
969 #line 1114 "./verilog.g"
970 v_description();
971 zzLOOP(zztasp2);
972 }
973 zzEXIT(zztasp2);
974 }
975 }
976 #line 1114 "./verilog.g"
977 zzmatch(V_EOF); zzCONSUME;
978 zzEXIT(zztasp1);
979 return;
980 fail:
981 zzEXIT(zztasp1);
982 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
983 zzresynch(setwd1, 0x2);
984 }
985 }
986
987 void
988 #ifdef __USE_PROTOS
989 v_description(void)
990 #else
991 v_description()
992 #endif
993 {
994 #line 1117 "./verilog.g"
995 zzRULE;
996 zzBLOCK(zztasp1);
997 zzMake0;
998 {
999 if ( (setwd1[LA(1)]&0x4) ) {
1000 #line 1117 "./verilog.g"
1001 v_module();
1002 }
1003 else {
1004 if ( (LA(1)==V_PRIMITIVE) ) {
1005 #line 1118 "./verilog.g"
1006 v_udp();
1007 }
1008 else {zzFAIL(1,zzerr1,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1009 }
1010 zzEXIT(zztasp1);
1011 return;
1012 fail:
1013 zzEXIT(zztasp1);
1014 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1015 zzresynch(setwd1, 0x8);
1016 }
1017 }
1018
1019 void
1020 #ifdef __USE_PROTOS
1021 v_module(void)
1022 #else
1023 v_module()
1024 #endif
1025 {
1026 #line 1121 "./verilog.g"
1027 zzRULE;
1028 zzBLOCK(zztasp1);
1029 zzMake0;
1030 {
1031 #line 1121 "./verilog.g"
1032 {
1033 zzBLOCK(zztasp2);
1034 zzMake0;
1035 {
1036 if ( (LA(1)==V_MODULE) ) {
1037 #line 1121 "./verilog.g"
1038 zzmatch(V_MODULE); zzCONSUME;
1039 }
1040 else {
1041 if ( (LA(1)==V_MACROMODULE)
1042 ) {
1043 #line 1121 "./verilog.g"
1044 zzmatch(V_MACROMODULE); zzCONSUME;
1045 }
1046 else {zzFAIL(1,zzerr2,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1047 }
1048 zzEXIT(zztasp2);
1049 }
1050 }
1051 #line 1122 "./verilog.g"
1052 {
1053 struct i_symbol_scope *sb = (struct i_symbol_scope *)calloc(1, sizeof(struct i_symbol_scope));
1054 sb->symtable = make_jrb();
1055 sb->parent = sym_base;
1056 sym_base = sb;
1057
1058 module_is_duplicate=0;
1059 if(mod_current_filename)
1060 {
1061 free(mod_current_filename);
1062 }
1063 mod_current_filename = strdup(zzfilename);
1064
1065 if(mod_current_name)
1066 {
1067 free(mod_current_name);
1068 mod_current_name = NULL;
1069 }
1070
1071 mod_start_line = zzline;
1072 }
1073 #line 1144 "./verilog.g"
1074 v_identifier_nodot();
1075 #line 1145 "./verilog.g"
1076 {
1077 JRB node = jrb_find_str(modname_tree, zzaArg(zztasp1,2 ).symbol->name);
1078 if((!node)||((node)&&(!node->val.i)))
1079 {
1080 add_string_to_tree(modname_tree, zzaArg(zztasp1,2 ).symbol->name, TRUE);
1081 mod_current_name = strdup(zzaArg(zztasp1,2 ).symbol->name);
1082
1083 }
1084 else
1085 {
1086 warn("** Warning: skipping duplicate module '%s' at in file '%s' line %d\n", zzaArg(zztasp1,2 ).symbol->name, zzfilename, zzline);
1087 module_is_duplicate = 1;
1088 }
1089 }
1090 #line 1161 "./verilog.g"
1091 v_module_body();
1092 #line 1163 "./verilog.g"
1093 zzmatch(V_ENDMODULE);
1094 #line 1164 "./verilog.g"
1095 {
1096 if(sym_base)
1097 {
1098 if(module_is_duplicate)
1099 {
1100 JRB symtree = sym_base->symtable;
1101 struct i_symbol_scope *sb = sym_base->parent;
1102 JRB node;
1103
1104 jrb_traverse(node, symtree)
1105 {
1106 free(((struct i_symbol *)node->val.v)->name);
1107 free(node->val.v); /* free up strings for any stray symbols (should be only modname) */
1108 }
1109 jrb_free_tree(symtree);
1110 free(sym_base);
1111 sym_base = sb;
1112 }
1113 else
1114 {
1115 JRB symtree = sym_base->symtable;
1116 JRB node;
1117
1118 sym_base = sym_base->parent;
1119
1120 if(emit_stems)
1121 {
1122 char real_path[PATH_MAX];
1123
1124 printf("++ module %s file %s lines %d - %d\n",
1125 mod_current_name, realpath(mod_current_filename, real_path), mod_start_line, zzline);
1126 }
1127
1128 if(emit_vars)
1129 {
1130 jrb_traverse(node, symtree)
1131 {
1132 printf("++ var %s module %s\n",
1133 ((struct i_symbol *)node->val.v)->name,
1134 mod_current_name);
1135 }
1136 }
1137
1138 if(mod_current_filename)
1139 {
1140 free(mod_current_filename);
1141 mod_current_filename = NULL;
1142 }
1143
1144 if(mod_current_name)
1145 {
1146 free(mod_current_name);
1147 mod_current_name = NULL;
1148 }
1149 }
1150 }
1151 }
1152 zzCONSUME;
1153
1154 zzEXIT(zztasp1);
1155 return;
1156 fail:
1157 zzEXIT(zztasp1);
1158 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1159 zzresynch(setwd1, 0x10);
1160 }
1161 }
1162
1163 void
1164 #ifdef __USE_PROTOS
1165 v_module_body(void)
1166 #else
1167 v_module_body()
1168 #endif
1169 {
1170 #line 1224 "./verilog.g"
1171 zzRULE;
1172 zzBLOCK(zztasp1);
1173 zzMake0;
1174 {
1175 #line 1224 "./verilog.g"
1176 v_list_of_ports();
1177 #line 1224 "./verilog.g"
1178 zzmatch(V_SEMI); zzCONSUME;
1179 #line 1225 "./verilog.g"
1180 {
1181 zzBLOCK(zztasp2);
1182 zzMake0;
1183 {
1184 while ( (setwd1[LA(1)]&0x20) ) {
1185 #line 1225 "./verilog.g"
1186 v_module_item();
1187 zzLOOP(zztasp2);
1188 }
1189 zzEXIT(zztasp2);
1190 }
1191 }
1192 zzEXIT(zztasp1);
1193 return;
1194 fail:
1195 zzEXIT(zztasp1);
1196 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1197 zzresynch(setwd1, 0x40);
1198 }
1199 }
1200
1201 void
1202 #ifdef __USE_PROTOS
1203 v_list_of_ports(void)
1204 #else
1205 v_list_of_ports()
1206 #endif
1207 {
1208 #line 1228 "./verilog.g"
1209 zzRULE;
1210 zzBLOCK(zztasp1);
1211 zzMake0;
1212 {
1213 if ( (LA(1)==V_LP) ) {
1214 #line 1228 "./verilog.g"
1215 zzmatch(V_LP); zzCONSUME;
1216 #line 1228 "./verilog.g"
1217 v_port();
1218 #line 1228 "./verilog.g"
1219 {
1220 zzBLOCK(zztasp2);
1221 zzMake0;
1222 {
1223 while ( (LA(1)==V_COMMA) ) {
1224 #line 1228 "./verilog.g"
1225 zzmatch(V_COMMA); zzCONSUME;
1226 #line 1228 "./verilog.g"
1227 v_port();
1228 zzLOOP(zztasp2);
1229 }
1230 zzEXIT(zztasp2);
1231 }
1232 }
1233 #line 1228 "./verilog.g"
1234 zzmatch(V_RP); zzCONSUME;
1235 }
1236 else {
1237 if ( (LA(1)==V_SEMI) ) {
1238 }
1239 else {zzFAIL(1,zzerr3,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1240 }
1241 zzEXIT(zztasp1);
1242 return;
1243 fail:
1244 zzEXIT(zztasp1);
1245 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1246 zzresynch(setwd1, 0x80);
1247 }
1248 }
1249
1250 void
1251 #ifdef __USE_PROTOS
1252 v_port(void)
1253 #else
1254 v_port()
1255 #endif
1256 {
1257 #line 1232 "./verilog.g"
1258 zzRULE;
1259 zzBLOCK(zztasp1);
1260 zzMake0;
1261 {
1262 if ( (setwd2[LA(1)]&0x1)
1263 ) {
1264 #line 1232 "./verilog.g"
1265 v_port_expression();
1266 }
1267 else {
1268 if ( (LA(1)==V_DOT) ) {
1269 #line 1233 "./verilog.g"
1270 zzmatch(V_DOT); zzCONSUME;
1271 #line 1233 "./verilog.g"
1272 v_identifier_nodot();
1273 #line 1233 "./verilog.g"
1274 zzmatch(V_LP); zzCONSUME;
1275 #line 1233 "./verilog.g"
1276 v_port_expression();
1277 #line 1233 "./verilog.g"
1278 zzmatch(V_RP); zzCONSUME;
1279 }
1280 else {zzFAIL(1,zzerr4,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1281 }
1282 zzEXIT(zztasp1);
1283 return;
1284 fail:
1285 zzEXIT(zztasp1);
1286 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1287 zzresynch(setwd2, 0x2);
1288 }
1289 }
1290
1291 void
1292 #ifdef __USE_PROTOS
1293 v_port_expression(void)
1294 #else
1295 v_port_expression()
1296 #endif
1297 {
1298 #line 1236 "./verilog.g"
1299 zzRULE;
1300 zzBLOCK(zztasp1);
1301 zzMake0;
1302 {
1303 if ( (setwd2[LA(1)]&0x4) ) {
1304 #line 1236 "./verilog.g"
1305 v_port_reference();
1306 }
1307 else {
1308 if ( (LA(1)==V_LBRACE) ) {
1309 #line 1237 "./verilog.g"
1310 zzmatch(V_LBRACE); zzCONSUME;
1311 #line 1237 "./verilog.g"
1312 v_port_reference();
1313 #line 1237 "./verilog.g"
1314 {
1315 zzBLOCK(zztasp2);
1316 zzMake0;
1317 {
1318 while ( (LA(1)==V_COMMA) ) {
1319 #line 1237 "./verilog.g"
1320 zzmatch(V_COMMA); zzCONSUME;
1321 #line 1237 "./verilog.g"
1322 v_port_reference();
1323 zzLOOP(zztasp2);
1324 }
1325 zzEXIT(zztasp2);
1326 }
1327 }
1328 #line 1237 "./verilog.g"
1329 zzmatch(V_RBRACE); zzCONSUME;
1330 }
1331 else {
1332 if ( (setwd2[LA(1)]&0x8)
1333 ) {
1334 }
1335 else {zzFAIL(1,zzerr5,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1336 }
1337 }
1338 zzEXIT(zztasp1);
1339 return;
1340 fail:
1341 zzEXIT(zztasp1);
1342 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1343 zzresynch(setwd2, 0x10);
1344 }
1345 }
1346
1347 void
1348 #ifdef __USE_PROTOS
1349 v_port_reference(void)
1350 #else
1351 v_port_reference()
1352 #endif
1353 {
1354 #line 1241 "./verilog.g"
1355 zzRULE;
1356 zzBLOCK(zztasp1);
1357 zzMake0;
1358 {
1359 #line 1241 "./verilog.g"
1360 v_identifier_nodot();
1361 #line 1243 "./verilog.g"
1362 {
1363 zzBLOCK(zztasp2);
1364 zzMake0;
1365 {
1366 if ( (LA(1)==V_LBRACK) ) {
1367 #line 1242 "./verilog.g"
1368 {
1369 zzBLOCK(zztasp3);
1370 zzMake0;
1371 {
1372 #line 1242 "./verilog.g"
1373 zzmatch(V_LBRACK); zzCONSUME;
1374 #line 1242 "./verilog.g"
1375 v_expression();
1376 #line 1242 "./verilog.g"
1377 {
1378 zzBLOCK(zztasp4);
1379 zzMake0;
1380 {
1381 if ( (LA(1)==V_COLON) ) {
1382 #line 1242 "./verilog.g"
1383 zzmatch(V_COLON); zzCONSUME;
1384 #line 1242 "./verilog.g"
1385 v_expression();
1386 }
1387 else {
1388 if ( (LA(1)==V_RBRACK) ) {
1389 }
1390 else {zzFAIL(1,zzerr6,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1391 }
1392 zzEXIT(zztasp4);
1393 }
1394 }
1395 #line 1243 "./verilog.g"
1396 zzmatch(V_RBRACK); zzCONSUME;
1397 zzEXIT(zztasp3);
1398 }
1399 }
1400 }
1401 else {
1402 if ( (setwd2[LA(1)]&0x20) ) {
1403 }
1404 else {zzFAIL(1,zzerr7,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1405 }
1406 zzEXIT(zztasp2);
1407 }
1408 }
1409 zzEXIT(zztasp1);
1410 return;
1411 fail:
1412 zzEXIT(zztasp1);
1413 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1414 zzresynch(setwd2, 0x40);
1415 }
1416 }
1417
1418 void
1419 #ifdef __USE_PROTOS
1420 v_module_item(void)
1421 #else
1422 v_module_item()
1423 #endif
1424 {
1425 #line 1246 "./verilog.g"
1426 zzRULE;
1427 zzBLOCK(zztasp1);
1428 zzMake0;
1429 {
1430 if ( (LA(1)==V_PARAMETER)
1431 ) {
1432 #line 1246 "./verilog.g"
1433 v_parameter_declaration();
1434 }
1435 else {
1436 if ( (LA(1)==V_INPUT) ) {
1437 #line 1247 "./verilog.g"
1438 v_input_declaration();
1439 }
1440 else {
1441 if ( (LA(1)==V_OUTPUT) ) {
1442 #line 1248 "./verilog.g"
1443 v_output_declaration();
1444 }
1445 else {
1446 if ( (LA(1)==V_INOUT) ) {
1447 #line 1249 "./verilog.g"
1448 v_inout_declaration();
1449 }
1450 else {
1451 if ( (LA(1)==V_REG) ) {
1452 #line 1250 "./verilog.g"
1453 v_reg_declaration();
1454 }
1455 else {
1456 if ( (LA(1)==V_TIME)
1457 ) {
1458 #line 1251 "./verilog.g"
1459 v_time_declaration();
1460 }
1461 else {
1462 if ( (LA(1)==V_INTEGER) ) {
1463 #line 1252 "./verilog.g"
1464 v_integer_declaration();
1465 }
1466 else {
1467 if ( (LA(1)==V_REAL) ) {
1468 #line 1253 "./verilog.g"
1469 v_real_declaration();
1470 }
1471 else {
1472 if ( (LA(1)==V_EVENT) ) {
1473 #line 1254 "./verilog.g"
1474 v_event_declaration();
1475 }
1476 else {
1477 if ( (setwd2[LA(1)]&0x80) ) {
1478 #line 1255 "./verilog.g"
1479 v_gate_declaration();
1480 }
1481 else {
1482 if ( (LA(1)==V_PRIMITIVE)
1483 ) {
1484 #line 1256 "./verilog.g"
1485 v_udp();
1486 }
1487 else {
1488 if ( (setwd3[LA(1)]&0x1) ) {
1489 #line 1257 "./verilog.g"
1490 v_module_instantiation();
1491 }
1492 else {
1493 if ( (LA(1)==V_DEFPARAM) ) {
1494 #line 1258 "./verilog.g"
1495 v_parameter_override();
1496 }
1497 else {
1498 if ( (setwd3[LA(1)]&0x2) ) {
1499 #line 1259 "./verilog.g"
1500 v_continuous_assign();
1501 }
1502 else {
1503 if ( (LA(1)==V_INITIAL) ) {
1504 #line 1260 "./verilog.g"
1505 v_initial_statement();
1506 }
1507 else {
1508 if ( (LA(1)==V_ALWAYS)
1509 ) {
1510 #line 1261 "./verilog.g"
1511 v_always_statement();
1512 }
1513 else {
1514 if ( (LA(1)==V_TASK) ) {
1515 #line 1262 "./verilog.g"
1516 v_task();
1517 }
1518 else {
1519 if ( (LA(1)==V_FUNCTION) ) {
1520 #line 1263 "./verilog.g"
1521 v_function();
1522 }
1523 else {
1524 if ( (LA(1)==V_SPECIFY) ) {
1525 #line 1264 "./verilog.g"
1526 v_specify_block();
1527 }
1528 else {zzFAIL(1,zzerr8,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1529 }
1530 }
1531 }
1532 }
1533 }
1534 }
1535 }
1536 }
1537 }
1538 }
1539 }
1540 }
1541 }
1542 }
1543 }
1544 }
1545 }
1546 }
1547 zzEXIT(zztasp1);
1548 return;
1549 fail:
1550 zzEXIT(zztasp1);
1551 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1552 zzresynch(setwd3, 0x4);
1553 }
1554 }
1555
1556 void
1557 #ifdef __USE_PROTOS
1558 v_udp(void)
1559 #else
1560 v_udp()
1561 #endif
1562 {
1563 #line 1267 "./verilog.g"
1564 zzRULE;
1565 zzBLOCK(zztasp1);
1566 zzMake0;
1567 {
1568 #line 1267 "./verilog.g"
1569 zzmatch(V_PRIMITIVE);
1570 #line 1268 "./verilog.g"
1571 {
1572 struct i_symbol_scope *sb = (struct i_symbol_scope *)calloc(1, sizeof(struct i_symbol_scope));
1573 sb->symtable = make_jrb();
1574 sb->parent = sym_base;
1575 sym_base = sb;
1576
1577 module_is_duplicate = 0;
1578
1579 if(udp_current_filename)
1580 {
1581 free(udp_current_filename);
1582 }
1583 udp_current_filename = strdup(zzfilename);
1584
1585 if(udp_current_name)
1586 {
1587 free(udp_current_name);
1588 udp_current_name = NULL;
1589 }
1590
1591 udp_start_line = zzline;
1592 }
1593 zzCONSUME;
1594
1595 #line 1291 "./verilog.g"
1596 v_name_of_udp();
1597 #line 1292 "./verilog.g"
1598
1599 {
1600 JRB node = jrb_find_str(modname_tree, zzaArg(zztasp1,2 ).symbol->name);
1601 if((!node)||((node)&&(!node->val.i)))
1602 {
1603 add_string_to_tree(modname_tree, zzaArg(zztasp1,2 ).symbol->name, TRUE);
1604 udp_current_name = strdup(zzaArg(zztasp1,2 ).symbol->name);
1605 }
1606 else
1607 {
1608 warn("** Warning: skipping duplicate UDP '%s' at in file '%s' line %d\n", zzaArg(zztasp1,2 ).symbol->name, zzfilename, zzline);
1609 module_is_duplicate = 1;
1610 }
1611 }
1612 #line 1308 "./verilog.g"
1613 zzmatch(V_LP); zzCONSUME;
1614 #line 1308 "./verilog.g"
1615 v_name_of_variable();
1616 #line 1309 "./verilog.g"
1617 {
1618 zzBLOCK(zztasp2);
1619 zzMake0;
1620 {
1621 while ( (LA(1)==V_COMMA) ) {
1622 #line 1309 "./verilog.g"
1623 zzmatch(V_COMMA); zzCONSUME;
1624 #line 1309 "./verilog.g"
1625 v_name_of_variable();
1626 zzLOOP(zztasp2);
1627 }
1628 zzEXIT(zztasp2);
1629 }
1630 }
1631 #line 1309 "./verilog.g"
1632 zzmatch(V_RP); zzCONSUME;
1633 #line 1309 "./verilog.g"
1634 zzmatch(V_SEMI); zzCONSUME;
1635 #line 1310 "./verilog.g"
1636 {
1637 zzBLOCK(zztasp2);
1638 int zzcnt=1;
1639 zzMake0;
1640 {
1641 do {
1642 #line 1310 "./verilog.g"
1643 v_udp_declaration();
1644 zzLOOP(zztasp2);
1645 } while ( (setwd3[LA(1)]&0x8)
1646 );
1647 zzEXIT(zztasp2);
1648 }
1649 }
1650 #line 1311 "./verilog.g"
1651 v_udp_initial_statement();
1652 #line 1312 "./verilog.g"
1653 v_table_definition();
1654 #line 1313 "./verilog.g"
1655 zzmatch(V_ENDPRIMITIVE);
1656 #line 1314 "./verilog.g"
1657
1658 {
1659 if(sym_base)
1660 {
1661 if(module_is_duplicate)
1662 {
1663 JRB symtree = sym_base->symtable;
1664 struct i_symbol_scope *sb = sym_base->parent;
1665 JRB node;
1666
1667 jrb_traverse(node, symtree)
1668 {
1669 free(((struct i_symbol *)node->val.v)->name);
1670 free(node->val.v); /* free up strings for any stray symbols (should be only modname) */
1671 }
1672 jrb_free_tree(symtree);
1673 free(sym_base);
1674 sym_base = sb;
1675 }
1676 else
1677 {
1678 sym_base = sym_base->parent;
1679
1680 if(emit_stems)
1681 {
1682 printf("++ udp %s file %s lines %d - %d\n",
1683 udp_current_name, udp_current_filename, udp_start_line, zzline);
1684 }
1685
1686 if(udp_current_filename)
1687 {
1688 free(udp_current_filename);
1689 udp_current_filename = NULL;
1690 }
1691
1692 if(udp_current_name)
1693 {
1694 free(udp_current_name);
1695 udp_current_name = NULL;
1696 }
1697 }
1698 }
1699 }
1700 zzCONSUME;
1701
1702 zzEXIT(zztasp1);
1703 return;
1704 fail:
1705 zzEXIT(zztasp1);
1706 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1707 zzresynch(setwd3, 0x10);
1708 }
1709 }
1710
1711 void
1712 #ifdef __USE_PROTOS
1713 v_udp_declaration(void)
1714 #else
1715 v_udp_declaration()
1716 #endif
1717 {
1718 #line 1360 "./verilog.g"
1719 zzRULE;
1720 zzBLOCK(zztasp1);
1721 zzMake0;
1722 {
1723 if ( (LA(1)==V_OUTPUT) ) {
1724 #line 1360 "./verilog.g"
1725 v_output_declaration();
1726 }
1727 else {
1728 if ( (LA(1)==V_REG) ) {
1729 #line 1361 "./verilog.g"
1730 v_reg_declaration();
1731 }
1732 else {
1733 if ( (LA(1)==V_INPUT) ) {
1734 #line 1362 "./verilog.g"
1735 v_input_declaration();
1736 }
1737 else {zzFAIL(1,zzerr9,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1738 }
1739 }
1740 zzEXIT(zztasp1);
1741 return;
1742 fail:
1743 zzEXIT(zztasp1);
1744 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1745 zzresynch(setwd3, 0x20);
1746 }
1747 }
1748
1749 void
1750 #ifdef __USE_PROTOS
1751 v_udp_initial_statement(void)
1752 #else
1753 v_udp_initial_statement()
1754 #endif
1755 {
1756 #line 1365 "./verilog.g"
1757 zzRULE;
1758 zzBLOCK(zztasp1);
1759 zzMake0;
1760 {
1761 if ( (LA(1)==V_INITIAL) ) {
1762 #line 1365 "./verilog.g"
1763 zzmatch(V_INITIAL); zzCONSUME;
1764 #line 1365 "./verilog.g"
1765 v_output_terminal_name();
1766 #line 1365 "./verilog.g"
1767 zzmatch(V_EQ); zzCONSUME;
1768 #line 1365 "./verilog.g"
1769 v_init_val();
1770 #line 1365 "./verilog.g"
1771 zzmatch(V_SEMI); zzCONSUME;
1772 }
1773 else {
1774 if ( (LA(1)==V_TABLE)
1775 ) {
1776 }
1777 else {zzFAIL(1,zzerr10,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1778 }
1779 zzEXIT(zztasp1);
1780 return;
1781 fail:
1782 zzEXIT(zztasp1);
1783 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1784 zzresynch(setwd3, 0x40);
1785 }
1786 }
1787
1788 void
1789 #ifdef __USE_PROTOS
1790 v_init_val(void)
1791 #else
1792 v_init_val()
1793 #endif
1794 {
1795 #line 1370 "./verilog.g"
1796 zzRULE;
1797 zzBLOCK(zztasp1);
1798 zzMake0;
1799 {
1800 if ( (LA(1)==V_DECIMAL_NUMBER) ) {
1801 #line 1370 "./verilog.g"
1802 zzmatch(V_DECIMAL_NUMBER); zzCONSUME;
1803 }
1804 else {
1805 if ( (LA(1)==V_BBASE) ) {
1806 #line 1371 "./verilog.g"
1807 zzmatch(V_BBASE);
1808 #line 1371 "./verilog.g"
1809 zzaRet.num = i_number_basemake(NV_BBASE, zzaArg(zztasp1,1 ).text);
1810 zzCONSUME;
1811
1812 }
1813 else {zzFAIL(1,zzerr11,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1814 }
1815 zzEXIT(zztasp1);
1816 return;
1817 fail:
1818 zzEXIT(zztasp1);
1819 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1820 zzresynch(setwd3, 0x80);
1821 }
1822 }
1823
1824 void
1825 #ifdef __USE_PROTOS
1826 v_output_terminal_name(void)
1827 #else
1828 v_output_terminal_name()
1829 #endif
1830 {
1831 #line 1374 "./verilog.g"
1832 zzRULE;
1833 zzBLOCK(zztasp1);
1834 zzMake0;
1835 {
1836 #line 1374 "./verilog.g"
1837 v_name_of_variable();
1838 zzEXIT(zztasp1);
1839 return;
1840 fail:
1841 zzEXIT(zztasp1);
1842 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1843 zzresynch(setwd4, 0x1);
1844 }
1845 }
1846
1847 void
1848 #ifdef __USE_PROTOS
1849 v_table_definition(void)
1850 #else
1851 v_table_definition()
1852 #endif
1853 {
1854 #line 1377 "./verilog.g"
1855 zzRULE;
1856 zzBLOCK(zztasp1);
1857 zzMake0;
1858 {
1859 #line 1377 "./verilog.g"
1860 zzmatch(V_TABLE); zzCONSUME;
1861 #line 1377 "./verilog.g"
1862 v_table_entries();
1863 #line 1377 "./verilog.g"
1864 zzmatch(V_ENDTABLE); zzCONSUME;
1865 zzEXIT(zztasp1);
1866 return;
1867 fail:
1868 zzEXIT(zztasp1);
1869 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1870 zzresynch(setwd4, 0x2);
1871 }
1872 }
1873
1874 void
1875 #ifdef __USE_PROTOS
1876 v_table_entries(void)
1877 #else
1878 v_table_entries()
1879 #endif
1880 {
1881 #line 1380 "./verilog.g"
1882 zzRULE;
1883 zzBLOCK(zztasp1);
1884 zzMake0;
1885 {
1886 #line 1380 "./verilog.g"
1887 {
1888 zzBLOCK(zztasp2);
1889 int zzcnt=1;
1890 zzMake0;
1891 {
1892 do {
1893 #line 1380 "./verilog.g"
1894 v_com_seq_entry();
1895 zzLOOP(zztasp2);
1896 } while ( (setwd4[LA(1)]&0x4) );
1897 zzEXIT(zztasp2);
1898 }
1899 }
1900 zzEXIT(zztasp1);
1901 return;
1902 fail:
1903 zzEXIT(zztasp1);
1904 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1905 zzresynch(setwd4, 0x8);
1906 }
1907 }
1908
1909 void
1910 #ifdef __USE_PROTOS
1911 v_com_seq_entry(void)
1912 #else
1913 v_com_seq_entry()
1914 #endif
1915 {
1916 #line 1383 "./verilog.g"
1917 zzRULE;
1918 zzBLOCK(zztasp1);
1919 zzMake0;
1920 {
1921 #line 1383 "./verilog.g"
1922 v_input_list();
1923 #line 1383 "./verilog.g"
1924 zzmatch(V_COLON); zzCONSUME;
1925 #line 1383 "./verilog.g"
1926 {
1927 zzBLOCK(zztasp2);
1928 zzMake0;
1929 {
1930 if ( (setwd4[LA(1)]&0x10) && (LA(2)==V_COLON) ) {
1931 #line 1383 "./verilog.g"
1932 v_state();
1933 #line 1383 "./verilog.g"
1934 zzmatch(V_COLON); zzCONSUME;
1935 #line 1383 "./verilog.g"
1936 v_next_state();
1937 }
1938 else {
1939 if ( (LA(1)==V_OUTPUT_SYMBOL) &&
1940 (LA(2)==V_SEMI) ) {
1941 #line 1384 "./verilog.g"
1942 zzmatch(V_OUTPUT_SYMBOL); zzCONSUME;
1943 }
1944 else {zzFAIL(2,zzerr12,zzerr13,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1945 }
1946 zzEXIT(zztasp2);
1947 }
1948 }
1949 #line 1384 "./verilog.g"
1950 zzmatch(V_SEMI); zzCONSUME;
1951 zzEXIT(zztasp1);
1952 return;
1953 fail:
1954 zzEXIT(zztasp1);
1955 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1956 zzresynch(setwd4, 0x20);
1957 }
1958 }
1959
1960 void
1961 #ifdef __USE_PROTOS
1962 v_level_symbol(void)
1963 #else
1964 v_level_symbol()
1965 #endif
1966 {
1967 #line 1387 "./verilog.g"
1968 zzRULE;
1969 zzBLOCK(zztasp1);
1970 zzMake0;
1971 {
1972 if ( (LA(1)==V_OUTPUT_SYMBOL) ) {
1973 #line 1387 "./verilog.g"
1974 zzmatch(V_OUTPUT_SYMBOL); zzCONSUME;
1975 }
1976 else {
1977 if ( (LA(1)==V_LEVEL_SYMBOL_EXTRA) ) {
1978 #line 1388 "./verilog.g"
1979 zzmatch(V_LEVEL_SYMBOL_EXTRA); zzCONSUME;
1980 }
1981 else {zzFAIL(1,zzerr14,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1982 }
1983 zzEXIT(zztasp1);
1984 return;
1985 fail:
1986 zzEXIT(zztasp1);
1987 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1988 zzresynch(setwd4, 0x40);
1989 }
1990 }
1991
1992 void
1993 #ifdef __USE_PROTOS
1994 v_edge(void)
1995 #else
1996 v_edge()
1997 #endif
1998 {
1999 #line 1391 "./verilog.g"
2000 zzRULE;
2001 zzBLOCK(zztasp1);
2002 zzMake0;
2003 {
2004 if ( (LA(1)==V_LP) ) {
2005 #line 1391 "./verilog.g"
2006 zzmatch(V_LP); zzCONSUME;
2007 #line 1391 "./verilog.g"
2008 v_fake_edge();
2009 #line 1391 "./verilog.g"
2010 zzmatch(V_RP); zzCONSUME;
2011 }
2012 else {
2013 if ( (LA(1)==V_EDGE_SYMBOL) ) {
2014 #line 1392 "./verilog.g"
2015 zzmatch(V_EDGE_SYMBOL); zzCONSUME;
2016 }
2017 else {zzFAIL(1,zzerr15,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2018 }
2019 zzEXIT(zztasp1);
2020 return;
2021 fail:
2022 zzEXIT(zztasp1);
2023 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2024 zzresynch(setwd4, 0x80);
2025 }
2026 }
2027
2028 void
2029 #ifdef __USE_PROTOS
2030 v_fake_edge(void)
2031 #else
2032 v_fake_edge()
2033 #endif
2034 {
2035 #line 1396 "./verilog.g"
2036 zzRULE;
2037 zzBLOCK(zztasp1);
2038 zzMake0;
2039 {
2040 if ( (setwd5[LA(1)]&0x1)
2041 ) {
2042 #line 1396 "./verilog.g"
2043 v_level_symbol();
2044 #line 1396 "./verilog.g"
2045 v_level_symbol();
2046 }
2047 else {
2048 if ( (LA(1)==V_IDENTIFIER) ) {
2049 #line 1397 "./verilog.g"
2050 zzmatch(V_IDENTIFIER); zzCONSUME;
2051 }
2052 else {zzFAIL(1,zzerr16,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2053 }
2054 zzEXIT(zztasp1);
2055 return;
2056 fail:
2057 zzEXIT(zztasp1);
2058 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2059 zzresynch(setwd5, 0x2);
2060 }
2061 }
2062
2063 void
2064 #ifdef __USE_PROTOS
2065 v_level_symbol2(void)
2066 #else
2067 v_level_symbol2()
2068 #endif
2069 {
2070 #line 1400 "./verilog.g"
2071 zzRULE;
2072 zzBLOCK(zztasp1);
2073 zzMake0;
2074 {
2075 if ( (setwd5[LA(1)]&0x4) ) {
2076 #line 1400 "./verilog.g"
2077 v_level_symbol();
2078 }
2079 else {
2080 if ( (setwd5[LA(1)]&0x8) ) {
2081 #line 1401 "./verilog.g"
2082 v_edge();
2083 }
2084 else {zzFAIL(1,zzerr17,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2085 }
2086 zzEXIT(zztasp1);
2087 return;
2088 fail:
2089 zzEXIT(zztasp1);
2090 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2091 zzresynch(setwd5, 0x10);
2092 }
2093 }
2094
2095 void
2096 #ifdef __USE_PROTOS
2097 v_input_list(void)
2098 #else
2099 v_input_list()
2100 #endif
2101 {
2102 #line 1404 "./verilog.g"
2103 zzRULE;
2104 zzBLOCK(zztasp1);
2105 zzMake0;
2106 {
2107 #line 1404 "./verilog.g"
2108 {
2109 zzBLOCK(zztasp2);
2110 zzMake0;
2111 {
2112 while ( (setwd5[LA(1)]&0x20) ) {
2113 #line 1404 "./verilog.g"
2114 v_level_symbol2();
2115 zzLOOP(zztasp2);
2116 }
2117 zzEXIT(zztasp2);
2118 }
2119 }
2120 zzEXIT(zztasp1);
2121 return;
2122 fail:
2123 zzEXIT(zztasp1);
2124 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2125 zzresynch(setwd5, 0x40);
2126 }
2127 }
2128
2129 void
2130 #ifdef __USE_PROTOS
2131 v_state(void)
2132 #else
2133 v_state()
2134 #endif
2135 {
2136 #line 1408 "./verilog.g"
2137 zzRULE;
2138 zzBLOCK(zztasp1);
2139 zzMake0;
2140 {
2141 #line 1408 "./verilog.g"
2142 v_level_symbol();
2143 zzEXIT(zztasp1);
2144 return;
2145 fail:
2146 zzEXIT(zztasp1);
2147 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2148 zzresynch(setwd5, 0x80);
2149 }
2150 }
2151
2152 void
2153 #ifdef __USE_PROTOS
2154 v_next_state(void)
2155 #else
2156 v_next_state()
2157 #endif
2158 {
2159 #line 1411 "./verilog.g"
2160 zzRULE;
2161 zzBLOCK(zztasp1);
2162 zzMake0;
2163 {
2164 if ( (LA(1)==V_OUTPUT_SYMBOL)
2165 ) {
2166 #line 1411 "./verilog.g"
2167 zzmatch(V_OUTPUT_SYMBOL); zzCONSUME;
2168 }
2169 else {
2170 if ( (LA(1)==V_HYPHEN) ) {
2171 #line 1412 "./verilog.g"
2172 zzmatch(V_HYPHEN); zzCONSUME;
2173 }
2174 else {zzFAIL(1,zzerr18,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2175 }
2176 zzEXIT(zztasp1);
2177 return;
2178 fail:
2179 zzEXIT(zztasp1);
2180 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2181 zzresynch(setwd6, 0x1);
2182 }
2183 }
2184
2185 void
2186 #ifdef __USE_PROTOS
2187 v_task(void)
2188 #else
2189 v_task()
2190 #endif
2191 {
2192 #line 1415 "./verilog.g"
2193 zzRULE;
2194 zzBLOCK(zztasp1);
2195 zzMake0;
2196 {
2197 #line 1415 "./verilog.g"
2198 zzmatch(V_TASK);
2199 #line 1416 "./verilog.g"
2200 {
2201 struct i_symbol_scope *sb = (struct i_symbol_scope *)calloc(1, sizeof(struct i_symbol_scope));
2202 sb->symtable = make_jrb();
2203 sb->parent = sym_base;
2204 sym_base = sb;
2205 }
2206 zzCONSUME;
2207
2208 #line 1423 "./verilog.g"
2209 v_identifier_nodot();
2210 #line 1423 "./verilog.g"
2211 zzmatch(V_SEMI); zzCONSUME;
2212 #line 1424 "./verilog.g"
2213 {
2214 zzBLOCK(zztasp2);
2215 zzMake0;
2216 {
2217 while ( (setwd6[LA(1)]&0x2) ) {
2218 #line 1424 "./verilog.g"
2219 v_tf_declaration();
2220 zzLOOP(zztasp2);
2221 }
2222 zzEXIT(zztasp2);
2223 }
2224 }
2225 #line 1425 "./verilog.g"
2226 v_statement_or_null();
2227 #line 1425 "./verilog.g"
2228 zzmatch(V_ENDTASK);
2229 #line 1426 "./verilog.g"
2230 if(sym_base) sym_base = sym_base->parent;
2231 zzCONSUME;
2232
2233 zzEXIT(zztasp1);
2234 return;
2235 fail:
2236 zzEXIT(zztasp1);
2237 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2238 zzresynch(setwd6, 0x4);
2239 }
2240 }
2241
2242 void
2243 #ifdef __USE_PROTOS
2244 v_function(void)
2245 #else
2246 v_function()
2247 #endif
2248 {
2249 #line 1429 "./verilog.g"
2250 zzRULE;
2251 zzBLOCK(zztasp1);
2252 zzMake0;
2253 {
2254 #line 1429 "./verilog.g"
2255 zzmatch(V_FUNCTION);
2256 #line 1430 "./verilog.g"
2257 {
2258 struct i_symbol_scope *sb = (struct i_symbol_scope *)calloc(1, sizeof(struct i_symbol_scope));
2259 sb->symtable = make_jrb();
2260 sb->parent = sym_base;
2261 sym_base = sb;
2262 }
2263 zzCONSUME;
2264
2265 #line 1437 "./verilog.g"
2266 {
2267 zzBLOCK(zztasp2);
2268 zzMake0;
2269 {
2270 if ( (setwd6[LA(1)]&0x8) ) {
2271 #line 1437 "./verilog.g"
2272 v_range_or_type();
2273 }
2274 else {
2275 if ( (setwd6[LA(1)]&0x10) ) {
2276 }
2277 else {zzFAIL(1,zzerr19,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2278 }
2279 zzEXIT(zztasp2);
2280 }
2281 }
2282 #line 1437 "./verilog.g"
2283 v_identifier_nodot();
2284 #line 1437 "./verilog.g"
2285 zzmatch(V_SEMI); zzCONSUME;
2286 #line 1438 "./verilog.g"
2287 {
2288 zzBLOCK(zztasp2);
2289 int zzcnt=1;
2290 zzMake0;
2291 {
2292 do {
2293 #line 1438 "./verilog.g"
2294 v_tf_declaration();
2295 zzLOOP(zztasp2);
2296 } while ( (setwd6[LA(1)]&0x20)
2297 );
2298 zzEXIT(zztasp2);
2299 }
2300 }
2301 #line 1439 "./verilog.g"
2302 v_statement();
2303 #line 1439 "./verilog.g"
2304 zzmatch(V_ENDFUNCTION);
2305 #line 1440 "./verilog.g"
2306 if(sym_base) sym_base = sym_base->parent;
2307 zzCONSUME;
2308
2309 zzEXIT(zztasp1);
2310 return;
2311 fail:
2312 zzEXIT(zztasp1);
2313 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2314 zzresynch(setwd6, 0x40);
2315 }
2316 }
2317
2318 void
2319 #ifdef __USE_PROTOS
2320 v_range_or_type(void)
2321 #else
2322 v_range_or_type()
2323 #endif
2324 {
2325 #line 1443 "./verilog.g"
2326 zzRULE;
2327 zzBLOCK(zztasp1);
2328 zzMake0;
2329 {
2330 if ( (LA(1)==V_LBRACK) ) {
2331 #line 1443 "./verilog.g"
2332 v_range();
2333 }
2334 else {
2335 if ( (LA(1)==V_INTEGER) ) {
2336 #line 1444 "./verilog.g"
2337 zzmatch(V_INTEGER); zzCONSUME;
2338 }
2339 else {
2340 if ( (LA(1)==V_REAL) ) {
2341 #line 1445 "./verilog.g"
2342 zzmatch(V_REAL); zzCONSUME;
2343 }
2344 else {zzFAIL(1,zzerr20,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2345 }
2346 }
2347 zzEXIT(zztasp1);
2348 return;
2349 fail:
2350 zzEXIT(zztasp1);
2351 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2352 zzresynch(setwd6, 0x80);
2353 }
2354 }
2355
2356 void
2357 #ifdef __USE_PROTOS
2358 v_tf_declaration(void)
2359 #else
2360 v_tf_declaration()
2361 #endif
2362 {
2363 #line 1448 "./verilog.g"
2364 zzRULE;
2365 zzBLOCK(zztasp1);
2366 zzMake0;
2367 {
2368 if ( (LA(1)==V_PARAMETER) ) {
2369 #line 1448 "./verilog.g"
2370 v_parameter_declaration();
2371 }
2372 else {
2373 if ( (LA(1)==V_INPUT)
2374 ) {
2375 #line 1449 "./verilog.g"
2376 v_input_declaration();
2377 }
2378 else {
2379 if ( (LA(1)==V_OUTPUT) ) {
2380 #line 1450 "./verilog.g"
2381 v_output_declaration();
2382 }
2383 else {
2384 if ( (LA(1)==V_INOUT) ) {
2385 #line 1451 "./verilog.g"
2386 v_inout_declaration();
2387 }
2388 else {
2389 if ( (LA(1)==V_REG) ) {
2390 #line 1452 "./verilog.g"
2391 v_reg_declaration();
2392 }
2393 else {
2394 if ( (LA(1)==V_TIME) ) {
2395 #line 1453 "./verilog.g"
2396 v_time_declaration();
2397 }
2398 else {
2399 if ( (LA(1)==V_INTEGER)
2400 ) {
2401 #line 1454 "./verilog.g"
2402 v_integer_declaration();
2403 }
2404 else {
2405 if ( (LA(1)==V_REAL) ) {
2406 #line 1455 "./verilog.g"
2407 v_real_declaration();
2408 }
2409 else {zzFAIL(1,zzerr21,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2410 }
2411 }
2412 }
2413 }
2414 }
2415 }
2416 }
2417 zzEXIT(zztasp1);
2418 return;
2419 fail:
2420 zzEXIT(zztasp1);
2421 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2422 zzresynch(setwd7, 0x1);
2423 }
2424 }
2425
2426 void
2427 #ifdef __USE_PROTOS
2428 v_parameter_declaration(void)
2429 #else
2430 v_parameter_declaration()
2431 #endif
2432 {
2433 #line 1462 "./verilog.g"
2434 zzRULE;
2435 zzBLOCK(zztasp1);
2436 zzMake0;
2437 {
2438 #line 1462 "./verilog.g"
2439 zzmatch(V_PARAMETER); zzCONSUME;
2440 #line 1462 "./verilog.g"
2441 {
2442 zzBLOCK(zztasp2);
2443 zzMake0;
2444 {
2445 if ( (LA(1)==V_LBRACK) ) {
2446 #line 1462 "./verilog.g"
2447 v_range();
2448 }
2449 else {
2450 if ( (setwd7[LA(1)]&0x2) ) {
2451 }
2452 else {zzFAIL(1,zzerr22,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2453 }
2454 zzEXIT(zztasp2);
2455 }
2456 }
2457 #line 1462 "./verilog.g"
2458 v_list_of_param_assignments();
2459 #line 1462 "./verilog.g"
2460 zzmatch(V_SEMI); zzCONSUME;
2461 zzEXIT(zztasp1);
2462 return;
2463 fail:
2464 zzEXIT(zztasp1);
2465 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2466 zzresynch(setwd7, 0x4);
2467 }
2468 }
2469
2470 void
2471 #ifdef __USE_PROTOS
2472 v_param_assignment(void)
2473 #else
2474 v_param_assignment()
2475 #endif
2476 {
2477 #line 1465 "./verilog.g"
2478 zzRULE;
2479 zzBLOCK(zztasp1);
2480 zzMake0;
2481 {
2482 #line 1465 "./verilog.g"
2483 v_identifier();
2484 #line 1465 "./verilog.g"
2485 zzmatch(V_EQ); zzCONSUME;
2486 #line 1465 "./verilog.g"
2487 v_constant_expression();
2488 zzEXIT(zztasp1);
2489 return;
2490 fail:
2491 zzEXIT(zztasp1);
2492 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2493 zzresynch(setwd7, 0x8);
2494 }
2495 }
2496
2497 void
2498 #ifdef __USE_PROTOS
2499 v_list_of_param_assignments(void)
2500 #else
2501 v_list_of_param_assignments()
2502 #endif
2503 {
2504 #line 1468 "./verilog.g"
2505 zzRULE;
2506 zzBLOCK(zztasp1);
2507 zzMake0;
2508 {
2509 #line 1468 "./verilog.g"
2510 v_param_assignment();
2511 #line 1469 "./verilog.g"
2512 {
2513 zzBLOCK(zztasp2);
2514 zzMake0;
2515 {
2516 while ( (LA(1)==V_COMMA) ) {
2517 #line 1469 "./verilog.g"
2518 zzmatch(V_COMMA); zzCONSUME;
2519 #line 1469 "./verilog.g"
2520 v_param_assignment();
2521 zzLOOP(zztasp2);
2522 }
2523 zzEXIT(zztasp2);
2524 }
2525 }
2526 zzEXIT(zztasp1);
2527 return;
2528 fail:
2529 zzEXIT(zztasp1);
2530 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2531 zzresynch(setwd7, 0x10);
2532 }
2533 }
2534
2535 void
2536 #ifdef __USE_PROTOS
2537 v_input_declaration(void)
2538 #else
2539 v_input_declaration()
2540 #endif
2541 {
2542 #line 1472 "./verilog.g"
2543 zzRULE;
2544 zzBLOCK(zztasp1);
2545 zzMake0;
2546 {
2547 #line 1472 "./verilog.g"
2548 zzmatch(V_INPUT); zzCONSUME;
2549 #line 1472 "./verilog.g"
2550 {
2551 zzBLOCK(zztasp2);
2552 zzMake0;
2553 {
2554 if ( (setwd7[LA(1)]&0x20)
2555 ) {
2556 #line 1472 "./verilog.g"
2557 v_list_of_variables();
2558 #line 1472 "./verilog.g"
2559 zzmatch(V_SEMI); zzCONSUME;
2560 }
2561 else {
2562 if ( (LA(1)==V_LBRACK) ) {
2563 #line 1473 "./verilog.g"
2564 v_range();
2565 #line 1473 "./verilog.g"
2566 v_list_of_variables();
2567 #line 1473 "./verilog.g"
2568 zzmatch(V_SEMI); zzCONSUME;
2569 }
2570 else {zzFAIL(1,zzerr23,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2571 }
2572 zzEXIT(zztasp2);
2573 }
2574 }
2575 zzEXIT(zztasp1);
2576 return;
2577 fail:
2578 zzEXIT(zztasp1);
2579 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2580 zzresynch(setwd7, 0x40);
2581 }
2582 }
2583
2584 void
2585 #ifdef __USE_PROTOS
2586 v_output_declaration(void)
2587 #else
2588 v_output_declaration()
2589 #endif
2590 {
2591 #line 1476 "./verilog.g"
2592 zzRULE;
2593 zzBLOCK(zztasp1);
2594 zzMake0;
2595 {
2596 #line 1476 "./verilog.g"
2597 zzmatch(V_OUTPUT); zzCONSUME;
2598 #line 1476 "./verilog.g"
2599 {
2600 zzBLOCK(zztasp2);
2601 zzMake0;
2602 {
2603 if ( (setwd7[LA(1)]&0x80) ) {
2604 #line 1476 "./verilog.g"
2605 v_list_of_variables();
2606 #line 1476 "./verilog.g"
2607 zzmatch(V_SEMI); zzCONSUME;
2608 }
2609 else {
2610 if ( (LA(1)==V_LBRACK) ) {
2611 #line 1477 "./verilog.g"
2612 v_range();
2613 #line 1477 "./verilog.g"
2614 v_list_of_variables();
2615 #line 1477 "./verilog.g"
2616 zzmatch(V_SEMI); zzCONSUME;
2617 }
2618 else {zzFAIL(1,zzerr24,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2619 }
2620 zzEXIT(zztasp2);
2621 }
2622 }
2623 zzEXIT(zztasp1);
2624 return;
2625 fail:
2626 zzEXIT(zztasp1);
2627 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2628 zzresynch(setwd8, 0x1);
2629 }
2630 }
2631
2632 void
2633 #ifdef __USE_PROTOS
2634 v_inout_declaration(void)
2635 #else
2636 v_inout_declaration()
2637 #endif
2638 {
2639 #line 1480 "./verilog.g"
2640 zzRULE;
2641 zzBLOCK(zztasp1);
2642 zzMake0;
2643 {
2644 #line 1480 "./verilog.g"
2645 zzmatch(V_INOUT); zzCONSUME;
2646 #line 1480 "./verilog.g"
2647 {
2648 zzBLOCK(zztasp2);
2649 zzMake0;
2650 {
2651 if ( (setwd8[LA(1)]&0x2) ) {
2652 #line 1480 "./verilog.g"
2653 v_list_of_variables();
2654 #line 1480 "./verilog.g"
2655 zzmatch(V_SEMI); zzCONSUME;
2656 }
2657 else {
2658 if ( (LA(1)==V_LBRACK)
2659 ) {
2660 #line 1481 "./verilog.g"
2661 v_range();
2662 #line 1481 "./verilog.g"
2663 v_list_of_variables();
2664 #line 1481 "./verilog.g"
2665 zzmatch(V_SEMI); zzCONSUME;
2666 }
2667 else {zzFAIL(1,zzerr25,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2668 }
2669 zzEXIT(zztasp2);
2670 }
2671 }
2672 zzEXIT(zztasp1);
2673 return;
2674 fail:
2675 zzEXIT(zztasp1);
2676 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2677 zzresynch(setwd8, 0x4);
2678 }
2679 }
2680
2681 void
2682 #ifdef __USE_PROTOS
2683 v_net_chg(void)
2684 #else
2685 v_net_chg()
2686 #endif
2687 {
2688 #line 1484 "./verilog.g"
2689 zzRULE;
2690 zzBLOCK(zztasp1);
2691 zzMake0;
2692 {
2693 if ( (LA(1)==V_LP) ) {
2694 #line 1484 "./verilog.g"
2695 v_charge_strength();
2696 }
2697 else {
2698 if ( (setwd8[LA(1)]&0x8) ) {
2699 }
2700 else {zzFAIL(1,zzerr26,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2701 }
2702 zzEXIT(zztasp1);
2703 return;
2704 fail:
2705 zzEXIT(zztasp1);
2706 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2707 zzresynch(setwd8, 0x10);
2708 }
2709 }
2710
2711 void
2712 #ifdef __USE_PROTOS
2713 v_nettype(void)
2714 #else
2715 v_nettype()
2716 #endif
2717 {
2718 #line 1488 "./verilog.g"
2719 zzRULE;
2720 zzBLOCK(zztasp1);
2721 zzMake0;
2722 {
2723 if ( (LA(1)==V_WIRE) ) {
2724 #line 1488 "./verilog.g"
2725 zzmatch(V_WIRE); zzCONSUME;
2726 }
2727 else {
2728 if ( (LA(1)==V_TRI) ) {
2729 #line 1489 "./verilog.g"
2730 zzmatch(V_TRI); zzCONSUME;
2731 }
2732 else {
2733 if ( (LA(1)==V_TRI1)
2734 ) {
2735 #line 1490 "./verilog.g"
2736 zzmatch(V_TRI1); zzCONSUME;
2737 }
2738 else {
2739 if ( (LA(1)==V_SUPPLY0) ) {
2740 #line 1491 "./verilog.g"
2741 zzmatch(V_SUPPLY0); zzCONSUME;
2742 }
2743 else {
2744 if ( (LA(1)==V_WAND) ) {
2745 #line 1492 "./verilog.g"
2746 zzmatch(V_WAND); zzCONSUME;
2747 }
2748 else {
2749 if ( (LA(1)==V_TRIAND) ) {
2750 #line 1493 "./verilog.g"
2751 zzmatch(V_TRIAND); zzCONSUME;
2752 }
2753 else {
2754 if ( (LA(1)==V_TRI0) ) {
2755 #line 1494 "./verilog.g"
2756 zzmatch(V_TRI0); zzCONSUME;
2757 }
2758 else {
2759 if ( (LA(1)==V_SUPPLY1)
2760 ) {
2761 #line 1495 "./verilog.g"
2762 zzmatch(V_SUPPLY1); zzCONSUME;
2763 }
2764 else {
2765 if ( (LA(1)==V_WOR) ) {
2766 #line 1496 "./verilog.g"
2767 zzmatch(V_WOR); zzCONSUME;
2768 }
2769 else {
2770 if ( (LA(1)==V_TRIOR) ) {
2771 #line 1497 "./verilog.g"
2772 zzmatch(V_TRIOR); zzCONSUME;
2773 }
2774 else {
2775 if ( (LA(1)==V_TRIREG) ) {
2776 #line 1498 "./verilog.g"
2777 zzmatch(V_TRIREG); zzCONSUME;
2778 }
2779 else {zzFAIL(1,zzerr27,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2780 }
2781 }
2782 }
2783 }
2784 }
2785 }
2786 }
2787 }
2788 }
2789 }
2790 zzEXIT(zztasp1);
2791 return;
2792 fail:
2793 zzEXIT(zztasp1);
2794 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2795 zzresynch(setwd8, 0x20);
2796 }
2797 }
2798
2799 void
2800 #ifdef __USE_PROTOS
2801 v_expandrange(void)
2802 #else
2803 v_expandrange()
2804 #endif
2805 {
2806 #line 1501 "./verilog.g"
2807 zzRULE;
2808 zzBLOCK(zztasp1);
2809 zzMake0;
2810 {
2811 if ( (LA(1)==V_LBRACK) ) {
2812 #line 1501 "./verilog.g"
2813 v_range();
2814 }
2815 else {
2816 if ( (LA(1)==V_SCALARED)
2817 ) {
2818 #line 1502 "./verilog.g"
2819 zzmatch(V_SCALARED); zzCONSUME;
2820 #line 1502 "./verilog.g"
2821 v_range();
2822 }
2823 else {
2824 if ( (LA(1)==V_VECTORED) ) {
2825 #line 1503 "./verilog.g"
2826 zzmatch(V_VECTORED); zzCONSUME;
2827 #line 1503 "./verilog.g"
2828 v_range();
2829 }
2830 else {zzFAIL(1,zzerr28,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2831 }
2832 }
2833 zzEXIT(zztasp1);
2834 return;
2835 fail:
2836 zzEXIT(zztasp1);
2837 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2838 zzresynch(setwd8, 0x40);
2839 }
2840 }
2841
2842 void
2843 #ifdef __USE_PROTOS
2844 v_reg_declaration(void)
2845 #else
2846 v_reg_declaration()
2847 #endif
2848 {
2849 #line 1506 "./verilog.g"
2850 zzRULE;
2851 zzBLOCK(zztasp1);
2852 zzMake0;
2853 {
2854 #line 1506 "./verilog.g"
2855 zzmatch(V_REG); zzCONSUME;
2856 #line 1506 "./verilog.g"
2857 v_reg_range();
2858 #line 1506 "./verilog.g"
2859 v_list_of_register_variables();
2860 #line 1506 "./verilog.g"
2861 zzmatch(V_SEMI); zzCONSUME;
2862 zzEXIT(zztasp1);
2863 return;
2864 fail:
2865 zzEXIT(zztasp1);
2866 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2867 zzresynch(setwd8, 0x80);
2868 }
2869 }
2870
2871 void
2872 #ifdef __USE_PROTOS
2873 v_reg_range(void)
2874 #else
2875 v_reg_range()
2876 #endif
2877 {
2878 #line 1509 "./verilog.g"
2879 zzRULE;
2880 zzBLOCK(zztasp1);
2881 zzMake0;
2882 {
2883 if ( (LA(1)==V_LBRACK) ) {
2884 #line 1509 "./verilog.g"
2885 v_range();
2886 }
2887 else {
2888 if ( (setwd9[LA(1)]&0x1) ) {
2889 }
2890 else {zzFAIL(1,zzerr29,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2891 }
2892 zzEXIT(zztasp1);
2893 return;
2894 fail:
2895 zzEXIT(zztasp1);
2896 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2897 zzresynch(setwd9, 0x2);
2898 }
2899 }
2900
2901 void
2902 #ifdef __USE_PROTOS
2903 v_time_declaration(void)
2904 #else
2905 v_time_declaration()
2906 #endif
2907 {
2908 #line 1513 "./verilog.g"
2909 zzRULE;
2910 zzBLOCK(zztasp1);
2911 zzMake0;
2912 {
2913 #line 1513 "./verilog.g"
2914 zzmatch(V_TIME); zzCONSUME;
2915 #line 1513 "./verilog.g"
2916 {
2917 zzBLOCK(zztasp2);
2918 zzMake0;
2919 {
2920 if ( (LA(1)==V_LBRACK) ) {
2921 #line 1513 "./verilog.g"
2922 v_range();
2923 }
2924 else {
2925 if ( (setwd9[LA(1)]&0x4)
2926 ) {
2927 }
2928 else {zzFAIL(1,zzerr30,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2929 }
2930 zzEXIT(zztasp2);
2931 }
2932 }
2933 #line 1513 "./verilog.g"
2934 v_list_of_register_variables();
2935 #line 1513 "./verilog.g"
2936 zzmatch(V_SEMI); zzCONSUME;
2937 zzEXIT(zztasp1);
2938 return;
2939 fail:
2940 zzEXIT(zztasp1);
2941 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2942 zzresynch(setwd9, 0x8);
2943 }
2944 }
2945
2946 void
2947 #ifdef __USE_PROTOS
2948 v_integer_declaration(void)
2949 #else
2950 v_integer_declaration()
2951 #endif
2952 {
2953 #line 1516 "./verilog.g"
2954 zzRULE;
2955 zzBLOCK(zztasp1);
2956 zzMake0;
2957 {
2958 #line 1516 "./verilog.g"
2959 zzmatch(V_INTEGER); zzCONSUME;
2960 #line 1516 "./verilog.g"
2961 {
2962 zzBLOCK(zztasp2);
2963 zzMake0;
2964 {
2965 if ( (LA(1)==V_LBRACK) ) {
2966 #line 1516 "./verilog.g"
2967 v_range();
2968 }
2969 else {
2970 if ( (setwd9[LA(1)]&0x10) ) {
2971 }
2972 else {zzFAIL(1,zzerr31,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2973 }
2974 zzEXIT(zztasp2);
2975 }
2976 }
2977 #line 1516 "./verilog.g"
2978 v_list_of_register_variables();
2979 #line 1516 "./verilog.g"
2980 zzmatch(V_SEMI); zzCONSUME;
2981 zzEXIT(zztasp1);
2982 return;
2983 fail:
2984 zzEXIT(zztasp1);
2985 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2986 zzresynch(setwd9, 0x20);
2987 }
2988 }
2989
2990 void
2991 #ifdef __USE_PROTOS
2992 v_real_declaration(void)
2993 #else
2994 v_real_declaration()
2995 #endif
2996 {
2997 #line 1519 "./verilog.g"
2998 zzRULE;
2999 zzBLOCK(zztasp1);
3000 zzMake0;
3001 {
3002 #line 1519 "./verilog.g"
3003 zzmatch(V_REAL); zzCONSUME;
3004 #line 1519 "./verilog.g"
3005 {
3006 zzBLOCK(zztasp2);
3007 zzMake0;
3008 {
3009 if ( (LA(1)==V_LBRACK) ) {
3010 #line 1519 "./verilog.g"
3011 v_range();
3012 }
3013 else {
3014 if ( (setwd9[LA(1)]&0x40) ) {
3015 }
3016 else {zzFAIL(1,zzerr32,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3017 }
3018 zzEXIT(zztasp2);
3019 }
3020 }
3021 #line 1519 "./verilog.g"
3022 v_list_of_variables();
3023 #line 1519 "./verilog.g"
3024 zzmatch(V_SEMI); zzCONSUME;
3025 zzEXIT(zztasp1);
3026 return;
3027 fail:
3028 zzEXIT(zztasp1);
3029 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3030 zzresynch(setwd9, 0x80);
3031 }
3032 }
3033
3034 void
3035 #ifdef __USE_PROTOS
3036 v_event_declaration(void)
3037 #else
3038 v_event_declaration()
3039 #endif
3040 {
3041 #line 1522 "./verilog.g"
3042 zzRULE;
3043 zzBLOCK(zztasp1);
3044 zzMake0;
3045 {
3046 #line 1522 "./verilog.g"
3047 zzmatch(V_EVENT); zzCONSUME;
3048 #line 1522 "./verilog.g"
3049 v_name_of_event();
3050 #line 1522 "./verilog.g"
3051 {
3052 zzBLOCK(zztasp2);
3053 zzMake0;
3054 {
3055 while ( (LA(1)==V_COMMA)
3056 ) {
3057 #line 1522 "./verilog.g"
3058 zzmatch(V_COMMA); zzCONSUME;
3059 #line 1522 "./verilog.g"
3060 v_name_of_event();
3061 zzLOOP(zztasp2);
3062 }
3063 zzEXIT(zztasp2);
3064 }
3065 }
3066 #line 1522 "./verilog.g"
3067 zzmatch(V_SEMI); zzCONSUME;
3068 zzEXIT(zztasp1);
3069 return;
3070 fail:
3071 zzEXIT(zztasp1);
3072 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3073 zzresynch(setwd10, 0x1);
3074 }
3075 }
3076
3077 void
3078 #ifdef __USE_PROTOS
3079 v_continuous_assign(void)
3080 #else
3081 v_continuous_assign()
3082 #endif
3083 {
3084 #line 1525 "./verilog.g"
3085 zzRULE;
3086 zzBLOCK(zztasp1);
3087 zzMake0;
3088 {
3089 if ( (LA(1)==V_ASSIGN) ) {
3090 #line 1525 "./verilog.g"
3091 zzmatch(V_ASSIGN); zzCONSUME;
3092 #line 1525 "./verilog.g"
3093 v_cont_drv();
3094 #line 1525 "./verilog.g"
3095 v_cont_dly();
3096 #line 1525 "./verilog.g"
3097 v_list_of_assignments();
3098 #line 1525 "./verilog.g"
3099 zzmatch(V_SEMI); zzCONSUME;
3100 }
3101 else {
3102 if ( (setwd10[LA(1)]&0x2) ) {
3103 #line 1526 "./verilog.g"
3104 v_nettype();
3105 #line 1527 "./verilog.g"
3106 v_net_chg();
3107 #line 1528 "./verilog.g"
3108 v_cont_exr();
3109 #line 1528 "./verilog.g"
3110 v_cont_dly();
3111 #line 1529 "./verilog.g"
3112 {
3113 zzBLOCK(zztasp2);
3114 zzMake0;
3115 {
3116 if ( (setwd10[LA(1)]&0x4) && (setwd10[LA(2)]&0x8) && !(
3117 LA(1)==V_IDENTIFIER && LA(2)==V_COMMA
3118 || LA(1)==V_IDENTIFIER2 && LA(2)==V_COMMA
3119 || LA(1)==V_FUNCTION_NAME && LA(2)==V_COMMA
3120 || LA(1)==V_IDENDOT && LA(2)==V_COMMA
3121 ) ) {
3122 #line 1529 "./verilog.g"
3123 v_list_of_assignments();
3124 }
3125 else {
3126 if ( (setwd10[LA(1)]&0x10) && (setwd10[LA(2)]&0x20) ) {
3127 #line 1529 "./verilog.g"
3128 v_list_of_variables();
3129 }
3130 else {zzFAIL(2,zzerr33,zzerr34,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3131 }
3132 zzEXIT(zztasp2);
3133 }
3134 }
3135 #line 1529 "./verilog.g"
3136 zzmatch(V_SEMI); zzCONSUME;
3137 }
3138 else {zzFAIL(1,zzerr35,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3139 }
3140 zzEXIT(zztasp1);
3141 return;
3142 fail:
3143 zzEXIT(zztasp1);
3144 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3145 zzresynch(setwd10, 0x40);
3146 }
3147 }
3148
3149 void
3150 #ifdef __USE_PROTOS
3151 v_cont_drv(void)
3152 #else
3153 v_cont_drv()
3154 #endif
3155 {
3156 #line 1532 "./verilog.g"
3157 zzRULE;
3158 zzBLOCK(zztasp1);
3159 zzMake0;
3160 {
3161 if ( (LA(1)==V_LP)
3162 ) {
3163 #line 1532 "./verilog.g"
3164 v_drive_strength();
3165 }
3166 else {
3167 if ( (setwd10[LA(1)]&0x80) ) {
3168 }
3169 else {zzFAIL(1,zzerr36,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3170 }
3171 zzEXIT(zztasp1);
3172 return;
3173 fail:
3174 zzEXIT(zztasp1);
3175 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3176 zzresynch(setwd11, 0x1);
3177 }
3178 }
3179
3180 void
3181 #ifdef __USE_PROTOS
3182 v_cont_exr(void)
3183 #else
3184 v_cont_exr()
3185 #endif
3186 {
3187 #line 1536 "./verilog.g"
3188 zzRULE;
3189 zzBLOCK(zztasp1);
3190 zzMake0;
3191 {
3192 if ( (setwd11[LA(1)]&0x2) ) {
3193 #line 1536 "./verilog.g"
3194 v_expandrange();
3195 }
3196 else {
3197 if ( (setwd11[LA(1)]&0x4) ) {
3198 }
3199 else {zzFAIL(1,zzerr37,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3200 }
3201 zzEXIT(zztasp1);
3202 return;
3203 fail:
3204 zzEXIT(zztasp1);
3205 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3206 zzresynch(setwd11, 0x8);
3207 }
3208 }
3209
3210 void
3211 #ifdef __USE_PROTOS
3212 v_cont_dly(void)
3213 #else
3214 v_cont_dly()
3215 #endif
3216 {
3217 #line 1540 "./verilog.g"
3218 zzRULE;
3219 zzBLOCK(zztasp1);
3220 zzMake0;
3221 {
3222 if ( (LA(1)==V_POUND) ) {
3223 #line 1540 "./verilog.g"
3224 v_delay();
3225 }
3226 else {
3227 if ( (setwd11[LA(1)]&0x10)
3228 ) {
3229 }
3230 else {zzFAIL(1,zzerr38,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3231 }
3232 zzEXIT(zztasp1);
3233 return;
3234 fail:
3235 zzEXIT(zztasp1);
3236 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3237 zzresynch(setwd11, 0x20);
3238 }
3239 }
3240
3241 void
3242 #ifdef __USE_PROTOS
3243 v_parameter_override(void)
3244 #else
3245 v_parameter_override()
3246 #endif
3247 {
3248 #line 1545 "./verilog.g"
3249 zzRULE;
3250 zzBLOCK(zztasp1);
3251 zzMake0;
3252 {
3253 #line 1545 "./verilog.g"
3254 zzmatch(V_DEFPARAM); zzCONSUME;
3255 #line 1545 "./verilog.g"
3256 v_list_of_param_assignments();
3257 #line 1545 "./verilog.g"
3258 zzmatch(V_SEMI); zzCONSUME;
3259 zzEXIT(zztasp1);
3260 return;
3261 fail:
3262 zzEXIT(zztasp1);
3263 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3264 zzresynch(setwd11, 0x40);
3265 }
3266 }
3267
3268 void
3269 #ifdef __USE_PROTOS
3270 v_list_of_variables(void)
3271 #else
3272 v_list_of_variables()
3273 #endif
3274 {
3275 #line 1548 "./verilog.g"
3276 zzRULE;
3277 zzBLOCK(zztasp1);
3278 zzMake0;
3279 {
3280 #line 1548 "./verilog.g"
3281 v_name_of_variable();
3282 #line 1549 "./verilog.g"
3283 {
3284 zzBLOCK(zztasp2);
3285 zzMake0;
3286 {
3287 while ( (LA(1)==V_COMMA) ) {
3288 #line 1549 "./verilog.g"
3289 zzmatch(V_COMMA); zzCONSUME;
3290 #line 1549 "./verilog.g"
3291 v_name_of_variable();
3292 zzLOOP(zztasp2);
3293 }
3294 zzEXIT(zztasp2);
3295 }
3296 }
3297 zzEXIT(zztasp1);
3298 return;
3299 fail:
3300 zzEXIT(zztasp1);
3301 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3302 zzresynch(setwd11, 0x80);
3303 }
3304 }
3305
3306 void
3307 #ifdef __USE_PROTOS
3308 v_name_of_variable(void)
3309 #else
3310 v_name_of_variable()
3311 #endif
3312 {
3313 #line 1552 "./verilog.g"
3314 zzRULE;
3315 zzBLOCK(zztasp1);
3316 zzMake0;
3317 {
3318 #line 1552 "./verilog.g"
3319 v_identifier();
3320 zzEXIT(zztasp1);
3321 return;
3322 fail:
3323 zzEXIT(zztasp1);
3324 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3325 zzresynch(setwd12, 0x1);
3326 }
3327 }
3328
3329 void
3330 #ifdef __USE_PROTOS
3331 v_list_of_register_variables(void)
3332 #else
3333 v_list_of_register_variables()
3334 #endif
3335 {
3336 #line 1555 "./verilog.g"
3337 zzRULE;
3338 zzBLOCK(zztasp1);
3339 zzMake0;
3340 {
3341 #line 1555 "./verilog.g"
3342 v_register_variable();
3343 #line 1556 "./verilog.g"
3344 {
3345 zzBLOCK(zztasp2);
3346 zzMake0;
3347 {
3348 while ( (LA(1)==V_COMMA) ) {
3349 #line 1556 "./verilog.g"
3350 zzmatch(V_COMMA); zzCONSUME;
3351 #line 1556 "./verilog.g"
3352 v_register_variable();
3353 zzLOOP(zztasp2);
3354 }
3355 zzEXIT(zztasp2);
3356 }
3357 }
3358 zzEXIT(zztasp1);
3359 return;
3360 fail:
3361 zzEXIT(zztasp1);
3362 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3363 zzresynch(setwd12, 0x2);
3364 }
3365 }
3366
3367 void
3368 #ifdef __USE_PROTOS
3369 v_register_variable(void)
3370 #else
3371 v_register_variable()
3372 #endif
3373 {
3374 #line 1559 "./verilog.g"
3375 zzRULE;
3376 zzBLOCK(zztasp1);
3377 zzMake0;
3378 {
3379 if ( (setwd12[LA(1)]&0x4) && (setwd12[LA(2)]&0x8) ) {
3380 #line 1559 "./verilog.g"
3381 v_name_of_register();
3382 }
3383 else {
3384 if ( (setwd12[LA(1)]&0x10) && (LA(2)==V_LBRACK) ) {
3385 #line 1560 "./verilog.g"
3386 v_name_of_memory();
3387 #line 1560 "./verilog.g"
3388 zzmatch(V_LBRACK); zzCONSUME;
3389 #line 1560 "./verilog.g"
3390 v_expression();
3391 #line 1560 "./verilog.g"
3392 zzmatch(V_COLON); zzCONSUME;
3393 #line 1561 "./verilog.g"
3394 v_expression();
3395 #line 1561 "./verilog.g"
3396 zzmatch(V_RBRACK); zzCONSUME;
3397 }
3398 else {zzFAIL(2,zzerr39,zzerr40,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3399 }
3400 zzEXIT(zztasp1);
3401 return;
3402 fail:
3403 zzEXIT(zztasp1);
3404 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3405 zzresynch(setwd12, 0x20);
3406 }
3407 }
3408
3409 void
3410 #ifdef __USE_PROTOS
3411 v_name_of_register(void)
3412 #else
3413 v_name_of_register()
3414 #endif
3415 {
3416 #line 1564 "./verilog.g"
3417 zzRULE;
3418 zzBLOCK(zztasp1);
3419 zzMake0;
3420 {
3421 #line 1564 "./verilog.g"
3422 v_identifier();
3423 zzEXIT(zztasp1);
3424 return;
3425 fail:
3426 zzEXIT(zztasp1);
3427 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3428 zzresynch(setwd12, 0x40);
3429 }
3430 }
3431
3432 void
3433 #ifdef __USE_PROTOS
3434 v_name_of_memory(void)
3435 #else
3436 v_name_of_memory()
3437 #endif
3438 {
3439 #line 1567 "./verilog.g"
3440 zzRULE;
3441 zzBLOCK(zztasp1);
3442 zzMake0;
3443 {
3444 #line 1567 "./verilog.g"
3445 v_identifier();
3446 zzEXIT(zztasp1);
3447 return;
3448 fail:
3449 zzEXIT(zztasp1);
3450 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3451 zzresynch(setwd12, 0x80);
3452 }
3453 }
3454
3455 void
3456 #ifdef __USE_PROTOS
3457 v_name_of_event(void)
3458 #else
3459 v_name_of_event()
3460 #endif
3461 {
3462 #line 1570 "./verilog.g"
3463 zzRULE;
3464 zzBLOCK(zztasp1);
3465 zzMake0;
3466 {
3467 #line 1570 "./verilog.g"
3468 v_identifier();
3469 zzEXIT(zztasp1);
3470 return;
3471 fail:
3472 zzEXIT(zztasp1);
3473 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3474 zzresynch(setwd13, 0x1);
3475 }
3476 }
3477
3478 void
3479 #ifdef __USE_PROTOS
3480 v_charge_strength(void)
3481 #else
3482 v_charge_strength()
3483 #endif
3484 {
3485 #line 1573 "./verilog.g"
3486 zzRULE;
3487 zzBLOCK(zztasp1);
3488 zzMake0;
3489 {
3490 #line 1573 "./verilog.g"
3491 zzmatch(V_LP); zzCONSUME;
3492 #line 1573 "./verilog.g"
3493 {
3494 zzBLOCK(zztasp2);
3495 zzMake0;
3496 {
3497 if ( (LA(1)==V_SMALL)
3498 ) {
3499 #line 1573 "./verilog.g"
3500 zzmatch(V_SMALL); zzCONSUME;
3501 }
3502 else {
3503 if ( (LA(1)==V_MEDIUM) ) {
3504 #line 1574 "./verilog.g"
3505 zzmatch(V_MEDIUM); zzCONSUME;
3506 }
3507 else {
3508 if ( (LA(1)==V_LARGE) ) {
3509 #line 1575 "./verilog.g"
3510 zzmatch(V_LARGE); zzCONSUME;
3511 }
3512 else {
3513 if ( (setwd13[LA(1)]&0x2) ) {
3514 #line 1576 "./verilog.g"
3515 v_strength0();
3516 #line 1576 "./verilog.g"
3517 zzmatch(V_COMMA); zzCONSUME;
3518 #line 1576 "./verilog.g"
3519 v_strength1();
3520 }
3521 else {
3522 if ( (setwd13[LA(1)]&0x4) ) {
3523 #line 1577 "./verilog.g"
3524 v_strength1();
3525 #line 1577 "./verilog.g"
3526 zzmatch(V_COMMA); zzCONSUME;
3527 #line 1577 "./verilog.g"
3528 v_strength0();
3529 }
3530 else {zzFAIL(1,zzerr41,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3531 }
3532 }
3533 }
3534 }
3535 zzEXIT(zztasp2);
3536 }
3537 }
3538 #line 1577 "./verilog.g"
3539 zzmatch(V_RP); zzCONSUME;
3540 zzEXIT(zztasp1);
3541 return;
3542 fail:
3543 zzEXIT(zztasp1);
3544 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3545 zzresynch(setwd13, 0x8);
3546 }
3547 }
3548
3549 void
3550 #ifdef __USE_PROTOS
3551 v_drive_strength(void)
3552 #else
3553 v_drive_strength()
3554 #endif
3555 {
3556 #line 1580 "./verilog.g"
3557 zzRULE;
3558 zzBLOCK(zztasp1);
3559 zzMake0;
3560 {
3561 #line 1580 "./verilog.g"
3562 zzmatch(V_LP); zzCONSUME;
3563 #line 1580 "./verilog.g"
3564 {
3565 zzBLOCK(zztasp2);
3566 zzMake0;
3567 {
3568 if ( (setwd13[LA(1)]&0x10)
3569 ) {
3570 #line 1580 "./verilog.g"
3571 v_strength0();
3572 #line 1580 "./verilog.g"
3573 zzmatch(V_COMMA); zzCONSUME;
3574 #line 1580 "./verilog.g"
3575 v_strength1();
3576 }
3577 else {
3578 if ( (setwd13[LA(1)]&0x20) ) {
3579 #line 1581 "./verilog.g"
3580 v_strength1();
3581 #line 1581 "./verilog.g"
3582 zzmatch(V_COMMA); zzCONSUME;
3583 #line 1581 "./verilog.g"
3584 v_strength0();
3585 }
3586 else {zzFAIL(1,zzerr42,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3587 }
3588 zzEXIT(zztasp2);
3589 }
3590 }
3591 #line 1581 "./verilog.g"
3592 zzmatch(V_RP); zzCONSUME;
3593 zzEXIT(zztasp1);
3594 return;
3595 fail:
3596 zzEXIT(zztasp1);
3597 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3598 zzresynch(setwd13, 0x40);
3599 }
3600 }
3601
3602 void
3603 #ifdef __USE_PROTOS
3604 v_strength0(void)
3605 #else
3606 v_strength0()
3607 #endif
3608 {
3609 #line 1584 "./verilog.g"
3610 zzRULE;
3611 zzBLOCK(zztasp1);
3612 zzMake0;
3613 {
3614 if ( (LA(1)==V_SUPPLY0) ) {
3615 #line 1584 "./verilog.g"
3616 zzmatch(V_SUPPLY0); zzCONSUME;
3617 }
3618 else {
3619 if ( (LA(1)==V_STRONG0) ) {
3620 #line 1585 "./verilog.g"
3621 zzmatch(V_STRONG0); zzCONSUME;
3622 }
3623 else {
3624 if ( (LA(1)==V_PULL0) ) {
3625 #line 1586 "./verilog.g"
3626 zzmatch(V_PULL0); zzCONSUME;
3627 }
3628 else {
3629 if ( (LA(1)==V_WEAK0)
3630 ) {
3631 #line 1587 "./verilog.g"
3632 zzmatch(V_WEAK0); zzCONSUME;
3633 }
3634 else {
3635 if ( (LA(1)==V_HIGHZ0) ) {
3636 #line 1588 "./verilog.g"
3637 zzmatch(V_HIGHZ0); zzCONSUME;
3638 }
3639 else {zzFAIL(1,zzerr43,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3640 }
3641 }
3642 }
3643 }
3644 zzEXIT(zztasp1);
3645 return;
3646 fail:
3647 zzEXIT(zztasp1);
3648 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3649 zzresynch(setwd13, 0x80);
3650 }
3651 }
3652
3653 void
3654 #ifdef __USE_PROTOS
3655 v_strength1(void)
3656 #else
3657 v_strength1()
3658 #endif
3659 {
3660 #line 1591 "./verilog.g"
3661 zzRULE;
3662 zzBLOCK(zztasp1);
3663 zzMake0;
3664 {
3665 if ( (LA(1)==V_SUPPLY1) ) {
3666 #line 1591 "./verilog.g"
3667 zzmatch(V_SUPPLY1); zzCONSUME;
3668 }
3669 else {
3670 if ( (LA(1)==V_STRONG1) ) {
3671 #line 1592 "./verilog.g"
3672 zzmatch(V_STRONG1); zzCONSUME;
3673 }
3674 else {
3675 if ( (LA(1)==V_PULL1) ) {
3676 #line 1593 "./verilog.g"
3677 zzmatch(V_PULL1); zzCONSUME;
3678 }
3679 else {
3680 if ( (LA(1)==V_WEAK1)
3681 ) {
3682 #line 1594 "./verilog.g"
3683 zzmatch(V_WEAK1); zzCONSUME;
3684 }
3685 else {
3686 if ( (LA(1)==V_HIGHZ1) ) {
3687 #line 1595 "./verilog.g"
3688 zzmatch(V_HIGHZ1); zzCONSUME;
3689 }
3690 else {zzFAIL(1,zzerr44,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3691 }
3692 }
3693 }
3694 }
3695 zzEXIT(zztasp1);
3696 return;
3697 fail:
3698 zzEXIT(zztasp1);
3699 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3700 zzresynch(setwd14, 0x1);
3701 }
3702 }
3703
3704 void
3705 #ifdef __USE_PROTOS
3706 v_range(void)
3707 #else
3708 v_range()
3709 #endif
3710 {
3711 #line 1598 "./verilog.g"
3712 zzRULE;
3713 zzBLOCK(zztasp1);
3714 zzMake0;
3715 {
3716 #line 1598 "./verilog.g"
3717 zzmatch(V_LBRACK); zzCONSUME;
3718 #line 1598 "./verilog.g"
3719 v_expression();
3720 #line 1599 "./verilog.g"
3721 zzmatch(V_COLON); zzCONSUME;
3722 #line 1599 "./verilog.g"
3723 v_expression();
3724 #line 1599 "./verilog.g"
3725 zzmatch(V_RBRACK); zzCONSUME;
3726 zzEXIT(zztasp1);
3727 return;
3728 fail:
3729 zzEXIT(zztasp1);
3730 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3731 zzresynch(setwd14, 0x2);
3732 }
3733 }
3734
3735 void
3736 #ifdef __USE_PROTOS
3737 v_list_of_assignments(void)
3738 #else
3739 v_list_of_assignments()
3740 #endif
3741 {
3742 #line 1602 "./verilog.g"
3743 zzRULE;
3744 zzBLOCK(zztasp1);
3745 zzMake0;
3746 {
3747 #line 1602 "./verilog.g"
3748 v_assignment();
3749 #line 1602 "./verilog.g"
3750 {
3751 zzBLOCK(zztasp2);
3752 zzMake0;
3753 {
3754 while ( (LA(1)==V_COMMA) ) {
3755 #line 1602 "./verilog.g"
3756 zzmatch(V_COMMA); zzCONSUME;
3757 #line 1602 "./verilog.g"
3758 v_assignment();
3759 zzLOOP(zztasp2);
3760 }
3761 zzEXIT(zztasp2);
3762 }
3763 }
3764 zzEXIT(zztasp1);
3765 return;
3766 fail:
3767 zzEXIT(zztasp1);
3768 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3769 zzresynch(setwd14, 0x4);
3770 }
3771 }
3772
3773 void
3774 #ifdef __USE_PROTOS
3775 v_gate_declaration(void)
3776 #else
3777 v_gate_declaration()
3778 #endif
3779 {
3780 #line 1610 "./verilog.g"
3781 zzRULE;
3782 zzBLOCK(zztasp1);
3783 zzMake0;
3784 {
3785 #line 1610 "./verilog.g"
3786 v_gatetype();
3787 #line 1610 "./verilog.g"
3788 v_gate_drv();
3789 #line 1610 "./verilog.g"
3790 v_gate_dly();
3791 #line 1610 "./verilog.g"
3792 v_gate_instance();
3793 #line 1611 "./verilog.g"
3794 {
3795 zzBLOCK(zztasp2);
3796 zzMake0;
3797 {
3798 while ( (LA(1)==V_COMMA) ) {
3799 #line 1611 "./verilog.g"
3800 zzmatch(V_COMMA); zzCONSUME;
3801 #line 1611 "./verilog.g"
3802 v_gate_instance();
3803 zzLOOP(zztasp2);
3804 }
3805 zzEXIT(zztasp2);
3806 }
3807 }
3808 #line 1611 "./verilog.g"
3809 zzmatch(V_SEMI); zzCONSUME;
3810 zzEXIT(zztasp1);
3811 return;
3812 fail:
3813 zzEXIT(zztasp1);
3814 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3815 zzresynch(setwd14, 0x8);
3816 }
3817 }
3818
3819 void
3820 #ifdef __USE_PROTOS
3821 v_gatetype(void)
3822 #else
3823 v_gatetype()
3824 #endif
3825 {
3826 #line 1613 "./verilog.g"
3827 zzRULE;
3828 zzBLOCK(zztasp1);
3829 zzMake0;
3830 {
3831 if ( (LA(1)==V_ANDLIT) ) {
3832 #line 1614 "./verilog.g"
3833 zzmatch(V_ANDLIT); zzCONSUME;
3834 }
3835 else {
3836 if ( (LA(1)==V_NANDLIT)
3837 ) {
3838 #line 1614 "./verilog.g"
3839 zzmatch(V_NANDLIT); zzCONSUME;
3840 }
3841 else {
3842 if ( (LA(1)==V_ORLIT) ) {
3843 #line 1614 "./verilog.g"
3844 zzmatch(V_ORLIT); zzCONSUME;
3845 }
3846 else {
3847 if ( (LA(1)==V_NORLIT) ) {
3848 #line 1614 "./verilog.g"
3849 zzmatch(V_NORLIT); zzCONSUME;
3850 }
3851 else {
3852 if ( (LA(1)==V_XORLIT) ) {
3853 #line 1614 "./verilog.g"
3854 zzmatch(V_XORLIT); zzCONSUME;
3855 }
3856 else {
3857 if ( (LA(1)==V_XNORLIT) ) {
3858 #line 1614 "./verilog.g"
3859 zzmatch(V_XNORLIT); zzCONSUME;
3860 }
3861 else {
3862 if ( (LA(1)==V_BUF)
3863 ) {
3864 #line 1615 "./verilog.g"
3865 zzmatch(V_BUF); zzCONSUME;
3866 }
3867 else {
3868 if ( (LA(1)==V_BUFIF0) ) {
3869 #line 1615 "./verilog.g"
3870 zzmatch(V_BUFIF0); zzCONSUME;
3871 }
3872 else {
3873 if ( (LA(1)==V_BUFIF1) ) {
3874 #line 1615 "./verilog.g"
3875 zzmatch(V_BUFIF1); zzCONSUME;
3876 }
3877 else {
3878 if ( (LA(1)==V_NOTLIT) ) {
3879 #line 1615 "./verilog.g"
3880 zzmatch(V_NOTLIT); zzCONSUME;
3881 }
3882 else {
3883 if ( (LA(1)==V_NOTIF0) ) {
3884 #line 1615 "./verilog.g"
3885 zzmatch(V_NOTIF0); zzCONSUME;
3886 }
3887 else {
3888 if ( (LA(1)==V_NOTIF1)
3889 ) {
3890 #line 1615 "./verilog.g"
3891 zzmatch(V_NOTIF1); zzCONSUME;
3892 }
3893 else {
3894 if ( (LA(1)==V_PULLDOWN) ) {
3895 #line 1615 "./verilog.g"
3896 zzmatch(V_PULLDOWN); zzCONSUME;
3897 }
3898 else {
3899 if ( (LA(1)==V_PULLUP) ) {
3900 #line 1615 "./verilog.g"
3901 zzmatch(V_PULLUP); zzCONSUME;
3902 }
3903 else {
3904 if ( (LA(1)==V_NMOS) ) {
3905 #line 1616 "./verilog.g"
3906 zzmatch(V_NMOS); zzCONSUME;
3907 }
3908 else {
3909 if ( (LA(1)==V_RNMOS) ) {
3910 #line 1616 "./verilog.g"
3911 zzmatch(V_RNMOS); zzCONSUME;
3912 }
3913 else {
3914 if ( (LA(1)==V_PMOS)
3915 ) {
3916 #line 1616 "./verilog.g"
3917 zzmatch(V_PMOS); zzCONSUME;
3918 }
3919 else {
3920 if ( (LA(1)==V_RPMOS) ) {
3921 #line 1616 "./verilog.g"
3922 zzmatch(V_RPMOS); zzCONSUME;
3923 }
3924 else {
3925 if ( (LA(1)==V_CMOS) ) {
3926 #line 1616 "./verilog.g"
3927 zzmatch(V_CMOS); zzCONSUME;
3928 }
3929 else {
3930 if ( (LA(1)==V_RCMOS) ) {
3931 #line 1616 "./verilog.g"
3932 zzmatch(V_RCMOS); zzCONSUME;
3933 }
3934 else {
3935 if ( (LA(1)==V_TRAN) ) {
3936 #line 1616 "./verilog.g"
3937 zzmatch(V_TRAN); zzCONSUME;
3938 }
3939 else {
3940 if ( (LA(1)==V_RTRAN)
3941 ) {
3942 #line 1616 "./verilog.g"
3943 zzmatch(V_RTRAN); zzCONSUME;
3944 }
3945 else {
3946 if ( (LA(1)==V_TRANIF0) ) {
3947 #line 1617 "./verilog.g"
3948 zzmatch(V_TRANIF0); zzCONSUME;
3949 }
3950 else {
3951 if ( (LA(1)==V_RTRANIF0) ) {
3952 #line 1617 "./verilog.g"
3953 zzmatch(V_RTRANIF0); zzCONSUME;
3954 }
3955 else {
3956 if ( (LA(1)==V_TRANIF1) ) {
3957 #line 1617 "./verilog.g"
3958 zzmatch(V_TRANIF1); zzCONSUME;
3959 }
3960 else {
3961 if ( (LA(1)==V_RTRANIF1) ) {
3962 #line 1617 "./verilog.g"
3963 zzmatch(V_RTRANIF1); zzCONSUME;
3964 }
3965 else {zzFAIL(1,zzerr45,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3966 }
3967 }
3968 }
3969 }
3970 }
3971 }
3972 }
3973 }
3974 }
3975 }
3976 }
3977 }
3978 }
3979 }
3980 }
3981 }
3982 }
3983 }
3984 }
3985 }
3986 }
3987 }
3988 }
3989 }
3990 }
3991 zzEXIT(zztasp1);
3992 return;
3993 fail:
3994 zzEXIT(zztasp1);
3995 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3996 zzresynch(setwd14, 0x10);
3997 }
3998 }
3999
4000 void
4001 #ifdef __USE_PROTOS
4002 v_gate_drv(void)
4003 #else
4004 v_gate_drv()
4005 #endif
4006 {
4007 #line 1620 "./verilog.g"
4008 zzRULE;
4009 zzBLOCK(zztasp1);
4010 zzMake0;
4011 {
4012 if ( (LA(1)==V_LP) &&
4013 (setwd14[LA(2)]&0x20) ) {
4014 #line 1620 "./verilog.g"
4015 v_drive_strength();
4016 }
4017 else {
4018 if ( (setwd14[LA(1)]&0x40) && (setwd14[LA(2)]&0x80) ) {
4019 }
4020 else {zzFAIL(2,zzerr46,zzerr47,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4021 }
4022 zzEXIT(zztasp1);
4023 return;
4024 fail:
4025 zzEXIT(zztasp1);
4026 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4027 zzresynch(setwd15, 0x1);
4028 }
4029 }
4030
4031 void
4032 #ifdef __USE_PROTOS
4033 v_gate_dly(void)
4034 #else
4035 v_gate_dly()
4036 #endif
4037 {
4038 #line 1624 "./verilog.g"
4039 zzRULE;
4040 zzBLOCK(zztasp1);
4041 zzMake0;
4042 {
4043 if ( (LA(1)==V_POUND) ) {
4044 #line 1624 "./verilog.g"
4045 v_delay();
4046 }
4047 else {
4048 if ( (setwd15[LA(1)]&0x2) ) {
4049 }
4050 else {zzFAIL(1,zzerr48,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4051 }
4052 zzEXIT(zztasp1);
4053 return;
4054 fail:
4055 zzEXIT(zztasp1);
4056 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4057 zzresynch(setwd15, 0x4);
4058 }
4059 }
4060
4061 void
4062 #ifdef __USE_PROTOS
4063 v_gate_range(void)
4064 #else
4065 v_gate_range()
4066 #endif
4067 {
4068 #line 1628 "./verilog.g"
4069 zzRULE;
4070 zzBLOCK(zztasp1);
4071 zzMake0;
4072 {
4073 if ( (LA(1)==V_LBRACK) ) {
4074 #line 1628 "./verilog.g"
4075 v_range();
4076 }
4077 else {
4078 if ( (LA(1)==V_LP)
4079 ) {
4080 }
4081 else {zzFAIL(1,zzerr49,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4082 }
4083 zzEXIT(zztasp1);
4084 return;
4085 fail:
4086 zzEXIT(zztasp1);
4087 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4088 zzresynch(setwd15, 0x8);
4089 }
4090 }
4091
4092 void
4093 #ifdef __USE_PROTOS
4094 v_gate_instance(void)
4095 #else
4096 v_gate_instance()
4097 #endif
4098 {
4099 #line 1633 "./verilog.g"
4100 zzRULE;
4101 zzBLOCK(zztasp1);
4102 zzMake0;
4103 {
4104 #line 1633 "./verilog.g"
4105 v_name_of_gate_instance();
4106 #line 1633 "./verilog.g"
4107 zzmatch(V_LP); zzCONSUME;
4108 #line 1633 "./verilog.g"
4109 v_terminal();
4110 #line 1634 "./verilog.g"
4111 {
4112 zzBLOCK(zztasp2);
4113 zzMake0;
4114 {
4115 while ( (LA(1)==V_COMMA) ) {
4116 #line 1634 "./verilog.g"
4117 zzmatch(V_COMMA); zzCONSUME;
4118 #line 1634 "./verilog.g"
4119 v_terminal();
4120 zzLOOP(zztasp2);
4121 }
4122 zzEXIT(zztasp2);
4123 }
4124 }
4125 #line 1634 "./verilog.g"
4126 zzmatch(V_RP); zzCONSUME;
4127 zzEXIT(zztasp1);
4128 return;
4129 fail:
4130 zzEXIT(zztasp1);
4131 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4132 zzresynch(setwd15, 0x10);
4133 }
4134 }
4135
4136 void
4137 #ifdef __USE_PROTOS
4138 v_name_of_gate_instance(void)
4139 #else
4140 v_name_of_gate_instance()
4141 #endif
4142 {
4143 #line 1637 "./verilog.g"
4144 zzRULE;
4145 zzBLOCK(zztasp1);
4146 zzMake0;
4147 {
4148 if ( (setwd15[LA(1)]&0x20) ) {
4149 #line 1637 "./verilog.g"
4150 v_identifier_nodot();
4151 #line 1637 "./verilog.g"
4152 v_gate_range();
4153 }
4154 else {
4155 if ( (LA(1)==V_LP) ) {
4156 }
4157 else {zzFAIL(1,zzerr50,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4158 }
4159 zzEXIT(zztasp1);
4160 return;
4161 fail:
4162 zzEXIT(zztasp1);
4163 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4164 zzresynch(setwd15, 0x40);
4165 }
4166 }
4167
4168 void
4169 #ifdef __USE_PROTOS
4170 v_terminal(void)
4171 #else
4172 v_terminal()
4173 #endif
4174 {
4175 #line 1641 "./verilog.g"
4176 zzRULE;
4177 zzBLOCK(zztasp1);
4178 zzMake0;
4179 {
4180 if ( (setwd15[LA(1)]&0x80) ) {
4181 #line 1641 "./verilog.g"
4182 v_expression();
4183 }
4184 else {
4185 if ( (setwd16[LA(1)]&0x1)
4186 ) {
4187 }
4188 else {zzFAIL(1,zzerr51,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4189 }
4190 zzEXIT(zztasp1);
4191 return;
4192 fail:
4193 zzEXIT(zztasp1);
4194 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4195 zzresynch(setwd16, 0x2);
4196 }
4197 }
4198
4199 void
4200 #ifdef __USE_PROTOS
4201 v_udp_instantiation(void)
4202 #else
4203 v_udp_instantiation()
4204 #endif
4205 {
4206 #line 1645 "./verilog.g"
4207 zzRULE;
4208 zzBLOCK(zztasp1);
4209 zzMake0;
4210 {
4211 #line 1645 "./verilog.g"
4212 v_name_of_udp();
4213 #line 1645 "./verilog.g"
4214 v_gate_drv();
4215 #line 1645 "./verilog.g"
4216 v_gate_dly();
4217 #line 1645 "./verilog.g"
4218 v_udp_instance();
4219 #line 1646 "./verilog.g"
4220 {
4221 zzBLOCK(zztasp2);
4222 zzMake0;
4223 {
4224 while ( (LA(1)==V_COMMA) ) {
4225 #line 1646 "./verilog.g"
4226 zzmatch(V_COMMA); zzCONSUME;
4227 #line 1646 "./verilog.g"
4228 v_udp_instance();
4229 zzLOOP(zztasp2);
4230 }
4231 zzEXIT(zztasp2);
4232 }
4233 }
4234 #line 1646 "./verilog.g"
4235 zzmatch(V_SEMI); zzCONSUME;
4236 zzEXIT(zztasp1);
4237 return;
4238 fail:
4239 zzEXIT(zztasp1);
4240 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4241 zzresynch(setwd16, 0x4);
4242 }
4243 }
4244
4245 void
4246 #ifdef __USE_PROTOS
4247 v_name_of_udp(void)
4248 #else
4249 v_name_of_udp()
4250 #endif
4251 {
4252 #line 1649 "./verilog.g"
4253 zzRULE;
4254 zzBLOCK(zztasp1);
4255 zzMake0;
4256 {
4257 #line 1649 "./verilog.g"
4258 v_identifier_nodot();
4259 #line 1650 "./verilog.g"
4260 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
4261 zzEXIT(zztasp1);
4262 return;
4263 fail:
4264 zzEXIT(zztasp1);
4265 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4266 zzresynch(setwd16, 0x8);
4267 }
4268 }
4269
4270 void
4271 #ifdef __USE_PROTOS
4272 v_udp_instance(void)
4273 #else
4274 v_udp_instance()
4275 #endif
4276 {
4277 #line 1653 "./verilog.g"
4278 zzRULE;
4279 zzBLOCK(zztasp1);
4280 zzMake0;
4281 {
4282 #line 1653 "./verilog.g"
4283 v_name_of_udp_instance();
4284 #line 1653 "./verilog.g"
4285 zzmatch(V_LP); zzCONSUME;
4286 #line 1653 "./verilog.g"
4287 v_terminal();
4288 #line 1654 "./verilog.g"
4289 {
4290 zzBLOCK(zztasp2);
4291 zzMake0;
4292 {
4293 while ( (LA(1)==V_COMMA) ) {
4294 #line 1654 "./verilog.g"
4295 zzmatch(V_COMMA); zzCONSUME;
4296 #line 1654 "./verilog.g"
4297 v_terminal();
4298 zzLOOP(zztasp2);
4299 }
4300 zzEXIT(zztasp2);
4301 }
4302 }
4303 #line 1654 "./verilog.g"
4304 zzmatch(V_RP); zzCONSUME;
4305 zzEXIT(zztasp1);
4306 return;
4307 fail:
4308 zzEXIT(zztasp1);
4309 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4310 zzresynch(setwd16, 0x10);
4311 }
4312 }
4313
4314 void
4315 #ifdef __USE_PROTOS
4316 v_name_of_udp_instance(void)
4317 #else
4318 v_name_of_udp_instance()
4319 #endif
4320 {
4321 #line 1657 "./verilog.g"
4322 zzRULE;
4323 zzBLOCK(zztasp1);
4324 zzMake0;
4325 {
4326 if ( (setwd16[LA(1)]&0x20) ) {
4327 #line 1657 "./verilog.g"
4328 v_identifier_nodot();
4329 #line 1657 "./verilog.g"
4330 v_gate_range();
4331 }
4332 else {
4333 if ( (LA(1)==V_LP) ) {
4334 }
4335 else {zzFAIL(1,zzerr52,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4336 }
4337 zzEXIT(zztasp1);
4338 return;
4339 fail:
4340 zzEXIT(zztasp1);
4341 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4342 zzresynch(setwd16, 0x40);
4343 }
4344 }
4345
4346 void
4347 #ifdef __USE_PROTOS
4348 v_module_instantiation(void)
4349 #else
4350 v_module_instantiation()
4351 #endif
4352 {
4353 #line 1665 "./verilog.g"
4354 zzRULE;
4355 zzBLOCK(zztasp1);
4356 zzMake0;
4357 {
4358 #line 1665 "./verilog.g"
4359 v_name_of_module();
4360 #line 1666 "./verilog.g"
4361
4362 if(!module_is_duplicate)
4363 {
4364 add_string_to_tree(modname_tree, zzaArg(zztasp1,1 ).symbol->name, FALSE);
4365 if(comp_type_name)
4366 {
4367 free(comp_type_name);
4368 }
4369 comp_type_name = strdup(zzaArg(zztasp1,1 ).symbol->name);
4370 } /* to keep transitive closure from looking for nonexistant modules if duplicate modules differ! */
4371 #line 1677 "./verilog.g"
4372 v_parameter_value_assignment();
4373 #line 1678 "./verilog.g"
4374 v_module_instance();
4375 #line 1679 "./verilog.g"
4376 {
4377 zzBLOCK(zztasp2);
4378 zzMake0;
4379 {
4380 while ( (LA(1)==V_COMMA)
4381 ) {
4382 #line 1679 "./verilog.g"
4383 zzmatch(V_COMMA); zzCONSUME;
4384 #line 1679 "./verilog.g"
4385 v_module_instance();
4386 zzLOOP(zztasp2);
4387 }
4388 zzEXIT(zztasp2);
4389 }
4390 }
4391 #line 1679 "./verilog.g"
4392 zzmatch(V_SEMI);
4393 #line 1680 "./verilog.g"
4394
4395 if(comp_type_name)
4396 {
4397 free(comp_type_name);
4398 comp_type_name = NULL;
4399 }
4400 zzCONSUME;
4401
4402 zzEXIT(zztasp1);
4403 return;
4404 fail:
4405 zzEXIT(zztasp1);
4406 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4407 zzresynch(setwd16, 0x80);
4408 }
4409 }
4410
4411 void
4412 #ifdef __USE_PROTOS
4413 v_name_of_module(void)
4414 #else
4415 v_name_of_module()
4416 #endif
4417 {
4418 #line 1689 "./verilog.g"
4419 zzRULE;
4420 zzBLOCK(zztasp1);
4421 zzMake0;
4422 {
4423 #line 1689 "./verilog.g"
4424 v_identifier_nodot();
4425 #line 1689 "./verilog.g"
4426 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
4427 zzEXIT(zztasp1);
4428 return;
4429 fail:
4430 zzEXIT(zztasp1);
4431 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4432 zzresynch(setwd17, 0x1);
4433 }
4434 }
4435
4436 void
4437 #ifdef __USE_PROTOS
4438 v_parameter_value_assignment(void)
4439 #else
4440 v_parameter_value_assignment()
4441 #endif
4442 {
4443 #line 1692 "./verilog.g"
4444 zzRULE;
4445 zzBLOCK(zztasp1);
4446 zzMake0;
4447 {
4448 if ( (LA(1)==V_POUND) && (LA(2)==V_LP) ) {
4449 #line 1693 "./verilog.g"
4450 zzmatch(V_POUND); zzCONSUME;
4451 #line 1693 "./verilog.g"
4452 zzmatch(V_LP); zzCONSUME;
4453 #line 1693 "./verilog.g"
4454 v_mexplist();
4455 #line 1693 "./verilog.g"
4456 zzmatch(V_RP); zzCONSUME;
4457 }
4458 else {
4459 if ( (LA(1)==V_POUND) && (setwd17[LA(2)]&0x2) ) {
4460 #line 1694 "./verilog.g"
4461 zzmatch(V_POUND); zzCONSUME;
4462 #line 1694 "./verilog.g"
4463 v_number();
4464 }
4465 else {
4466 if ( (setwd17[LA(1)]&0x4) ) {
4467 }
4468 else {zzFAIL(2,zzerr53,zzerr54,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4469 }
4470 }
4471 zzEXIT(zztasp1);
4472 return;
4473 fail:
4474 zzEXIT(zztasp1);
4475 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4476 zzresynch(setwd17, 0x8);
4477 }
4478 }
4479
4480 void
4481 #ifdef __USE_PROTOS
4482 v_module_instance(void)
4483 #else
4484 v_module_instance()
4485 #endif
4486 {
4487 #line 1698 "./verilog.g"
4488 zzRULE;
4489 zzBLOCK(zztasp1);
4490 zzMake0;
4491 {
4492 #line 1698 "./verilog.g"
4493 v_name_of_instance_opt();
4494 #line 1698 "./verilog.g"
4495 zzmatch(V_LP); zzCONSUME;
4496 #line 1698 "./verilog.g"
4497 v_list_of_module_connections();
4498 #line 1698 "./verilog.g"
4499 zzmatch(V_RP); zzCONSUME;
4500 zzEXIT(zztasp1);
4501 return;
4502 fail:
4503 zzEXIT(zztasp1);
4504 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4505 zzresynch(setwd17, 0x10);
4506 }
4507 }
4508
4509 void
4510 #ifdef __USE_PROTOS
4511 v_name_of_instance_opt(void)
4512 #else
4513 v_name_of_instance_opt()
4514 #endif
4515 {
4516 #line 1702 "./verilog.g"
4517 zzRULE;
4518 zzBLOCK(zztasp1);
4519 zzMake0;
4520 {
4521 if ( (setwd17[LA(1)]&0x20) ) {
4522 #line 1702 "./verilog.g"
4523 v_name_of_instance();
4524 }
4525 else {
4526 if ( (LA(1)==V_LP)
4527 ) {
4528 }
4529 else {zzFAIL(1,zzerr55,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4530 }
4531 zzEXIT(zztasp1);
4532 return;
4533 fail:
4534 zzEXIT(zztasp1);
4535 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4536 zzresynch(setwd17, 0x40);
4537 }
4538 }
4539
4540 void
4541 #ifdef __USE_PROTOS
4542 v_name_of_instance(void)
4543 #else
4544 v_name_of_instance()
4545 #endif
4546 {
4547 #line 1706 "./verilog.g"
4548 zzRULE;
4549 zzBLOCK(zztasp1);
4550 zzMake0;
4551 {
4552 #line 1706 "./verilog.g"
4553 v_identifier_nodot();
4554 #line 1706 "./verilog.g"
4555 v_mod_range();
4556 #line 1707 "./verilog.g"
4557
4558 if(!module_is_duplicate)
4559 {
4560 if(emit_stems)
4561 {
4562 printf("++ comp %s type %s parent %s\n", zzaArg(zztasp1,1 ).symbol->name, comp_type_name, mod_current_name);
4563 }
4564 }
4565 zzEXIT(zztasp1);
4566 return;
4567 fail:
4568 zzEXIT(zztasp1);
4569 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4570 zzresynch(setwd17, 0x80);
4571 }
4572 }
4573
4574 void
4575 #ifdef __USE_PROTOS
4576 v_mod_range(void)
4577 #else
4578 v_mod_range()
4579 #endif
4580 {
4581 #line 1718 "./verilog.g"
4582 zzRULE;
4583 zzBLOCK(zztasp1);
4584 zzMake0;
4585 {
4586 if ( (LA(1)==V_LBRACK) ) {
4587 #line 1718 "./verilog.g"
4588 v_range();
4589 }
4590 else {
4591 if ( (LA(1)==V_LP) ) {
4592 }
4593 else {zzFAIL(1,zzerr56,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4594 }
4595 zzEXIT(zztasp1);
4596 return;
4597 fail:
4598 zzEXIT(zztasp1);
4599 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4600 zzresynch(setwd18, 0x1);
4601 }
4602 }
4603
4604 void
4605 #ifdef __USE_PROTOS
4606 v_list_of_module_connections(void)
4607 #else
4608 v_list_of_module_connections()
4609 #endif
4610 {
4611 #line 1722 "./verilog.g"
4612 zzRULE;
4613 zzBLOCK(zztasp1);
4614 zzMake0;
4615 {
4616 if ( (setwd18[LA(1)]&0x2) ) {
4617 #line 1722 "./verilog.g"
4618 v_module_port_connection();
4619 #line 1722 "./verilog.g"
4620 {
4621 zzBLOCK(zztasp2);
4622 zzMake0;
4623 {
4624 while ( (LA(1)==V_COMMA) ) {
4625 #line 1722 "./verilog.g"
4626 zzmatch(V_COMMA); zzCONSUME;
4627 #line 1722 "./verilog.g"
4628 v_module_port_connection();
4629 zzLOOP(zztasp2);
4630 }
4631 zzEXIT(zztasp2);
4632 }
4633 }
4634 }
4635 else {
4636 if ( (LA(1)==V_DOT)
4637 ) {
4638 #line 1723 "./verilog.g"
4639 v_named_port_connection();
4640 #line 1723 "./verilog.g"
4641 {
4642 zzBLOCK(zztasp2);
4643 zzMake0;
4644 {
4645 while ( (LA(1)==V_COMMA) ) {
4646 #line 1723 "./verilog.g"
4647 zzmatch(V_COMMA); zzCONSUME;
4648 #line 1723 "./verilog.g"
4649 v_named_port_connection();
4650 zzLOOP(zztasp2);
4651 }
4652 zzEXIT(zztasp2);
4653 }
4654 }
4655 }
4656 else {zzFAIL(1,zzerr57,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4657 }
4658 zzEXIT(zztasp1);
4659 return;
4660 fail:
4661 zzEXIT(zztasp1);
4662 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4663 zzresynch(setwd18, 0x4);
4664 }
4665 }
4666
4667 void
4668 #ifdef __USE_PROTOS
4669 v_module_port_connection(void)
4670 #else
4671 v_module_port_connection()
4672 #endif
4673 {
4674 #line 1726 "./verilog.g"
4675 zzRULE;
4676 zzBLOCK(zztasp1);
4677 zzMake0;
4678 {
4679 if ( (setwd18[LA(1)]&0x8) ) {
4680 #line 1726 "./verilog.g"
4681 v_expression();
4682 }
4683 else {
4684 if ( (setwd18[LA(1)]&0x10) ) {
4685 }
4686 else {zzFAIL(1,zzerr58,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4687 }
4688 zzEXIT(zztasp1);
4689 return;
4690 fail:
4691 zzEXIT(zztasp1);
4692 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4693 zzresynch(setwd18, 0x20);
4694 }
4695 }
4696
4697 void
4698 #ifdef __USE_PROTOS
4699 v_named_port_connection(void)
4700 #else
4701 v_named_port_connection()
4702 #endif
4703 {
4704 #line 1730 "./verilog.g"
4705 zzRULE;
4706 zzBLOCK(zztasp1);
4707 zzMake0;
4708 {
4709 #line 1730 "./verilog.g"
4710 zzmatch(V_DOT); zzCONSUME;
4711 #line 1730 "./verilog.g"
4712 v_identifier_nodot();
4713 #line 1730 "./verilog.g"
4714 zzmatch(V_LP); zzCONSUME;
4715 #line 1730 "./verilog.g"
4716 {
4717 zzBLOCK(zztasp2);
4718 zzMake0;
4719 {
4720 if ( (setwd18[LA(1)]&0x40) ) {
4721 #line 1730 "./verilog.g"
4722 v_expression();
4723 }
4724 else {
4725 if ( (LA(1)==V_RP)
4726 ) {
4727 }
4728 else {zzFAIL(1,zzerr59,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4729 }
4730 zzEXIT(zztasp2);
4731 }
4732 }
4733 #line 1730 "./verilog.g"
4734 zzmatch(V_RP); zzCONSUME;
4735 zzEXIT(zztasp1);
4736 return;
4737 fail:
4738 zzEXIT(zztasp1);
4739 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4740 zzresynch(setwd18, 0x80);
4741 }
4742 }
4743
4744 void
4745 #ifdef __USE_PROTOS
4746 v_initial_statement(void)
4747 #else
4748 v_initial_statement()
4749 #endif
4750 {
4751 #line 1738 "./verilog.g"
4752 zzRULE;
4753 zzBLOCK(zztasp1);
4754 zzMake0;
4755 {
4756 #line 1738 "./verilog.g"
4757 zzmatch(V_INITIAL); zzCONSUME;
4758 #line 1738 "./verilog.g"
4759 v_statement();
4760 zzEXIT(zztasp1);
4761 return;
4762 fail:
4763 zzEXIT(zztasp1);
4764 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4765 zzresynch(setwd19, 0x1);
4766 }
4767 }
4768
4769 void
4770 #ifdef __USE_PROTOS
4771 v_always_statement(void)
4772 #else
4773 v_always_statement()
4774 #endif
4775 {
4776 #line 1741 "./verilog.g"
4777 zzRULE;
4778 zzBLOCK(zztasp1);
4779 zzMake0;
4780 {
4781 #line 1741 "./verilog.g"
4782 zzmatch(V_ALWAYS); zzCONSUME;
4783 #line 1741 "./verilog.g"
4784 v_statement();
4785 zzEXIT(zztasp1);
4786 return;
4787 fail:
4788 zzEXIT(zztasp1);
4789 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4790 zzresynch(setwd19, 0x2);
4791 }
4792 }
4793
4794 void
4795 #ifdef __USE_PROTOS
4796 v_statement_or_null(void)
4797 #else
4798 v_statement_or_null()
4799 #endif
4800 {
4801 #line 1744 "./verilog.g"
4802 zzRULE;
4803 zzBLOCK(zztasp1);
4804 zzMake0;
4805 {
4806 if ( (setwd19[LA(1)]&0x4) ) {
4807 #line 1744 "./verilog.g"
4808 v_statement();
4809 }
4810 else {
4811 if ( (LA(1)==V_SEMI) ) {
4812 #line 1745 "./verilog.g"
4813 zzmatch(V_SEMI); zzCONSUME;
4814 }
4815 else {zzFAIL(1,zzerr60,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4816 }
4817 zzEXIT(zztasp1);
4818 return;
4819 fail:
4820 zzEXIT(zztasp1);
4821 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4822 zzresynch(setwd19, 0x8);
4823 }
4824 }
4825
4826 void
4827 #ifdef __USE_PROTOS
4828 v_statement(void)
4829 #else
4830 v_statement()
4831 #endif
4832 {
4833 #line 1748 "./verilog.g"
4834 zzRULE;
4835 zzBLOCK(zztasp1);
4836 zzMake0;
4837 {
4838 if ( (setwd19[LA(1)]&0x10) && (setwd19[LA(2)]&0x20) && !(
4839 LA(1)==V_IDENTIFIER && LA(2)==V_LP
4840 || LA(1)==V_IDENTIFIER2 && LA(2)==V_LP
4841 || LA(1)==V_FUNCTION_NAME && LA(2)==V_LP
4842 || LA(1)==V_IDENDOT && LA(2)==V_LP
4843 ) ) {
4844 #line 1748 "./verilog.g"
4845 v_block_or_non_assignment();
4846 #line 1748 "./verilog.g"
4847 zzmatch(V_SEMI); zzCONSUME;
4848 }
4849 else {
4850 if ( (LA(1)==V_IF) ) {
4851 #line 1749 "./verilog.g"
4852 zzmatch(V_IF); zzCONSUME;
4853 #line 1749 "./verilog.g"
4854 zzmatch(V_LP); zzCONSUME;
4855 #line 1749 "./verilog.g"
4856 v_expression();
4857 #line 1749 "./verilog.g"
4858 zzmatch(V_RP); zzCONSUME;
4859 #line 1749 "./verilog.g"
4860 v_statement_or_null();
4861 #line 1750 "./verilog.g"
4862 {
4863 zzBLOCK(zztasp2);
4864 zzMake0;
4865 {
4866 if ( (LA(1)==V_ELSE) &&
4867 (setwd19[LA(2)]&0x40) ) {
4868 #line 1750 "./verilog.g"
4869 zzmatch(V_ELSE); zzCONSUME;
4870 #line 1750 "./verilog.g"
4871 v_statement_or_null();
4872 }
4873 else {
4874 if ( (setwd19[LA(1)]&0x80) && (setwd20[LA(2)]&0x1) ) {
4875 }
4876 else {zzFAIL(2,zzerr61,zzerr62,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4877 }
4878 zzEXIT(zztasp2);
4879 }
4880 }
4881 }
4882 else {
4883 if ( (LA(1)==V_CASE) ) {
4884 #line 1751 "./verilog.g"
4885 zzmatch(V_CASE); zzCONSUME;
4886 #line 1751 "./verilog.g"
4887 zzmatch(V_LP); zzCONSUME;
4888 #line 1751 "./verilog.g"
4889 v_expression();
4890 #line 1751 "./verilog.g"
4891 zzmatch(V_RP); zzCONSUME;
4892 #line 1751 "./verilog.g"
4893 {
4894 zzBLOCK(zztasp2);
4895 int zzcnt=1;
4896 zzMake0;
4897 {
4898 do {
4899 #line 1751 "./verilog.g"
4900 v_case_item();
4901 zzLOOP(zztasp2);
4902 } while ( (setwd20[LA(1)]&0x2) );
4903 zzEXIT(zztasp2);
4904 }
4905 }
4906 #line 1751 "./verilog.g"
4907 zzmatch(V_ENDCASE); zzCONSUME;
4908 }
4909 else {
4910 if ( (LA(1)==V_CASEX) ) {
4911 #line 1752 "./verilog.g"
4912 zzmatch(V_CASEX); zzCONSUME;
4913 #line 1752 "./verilog.g"
4914 zzmatch(V_LP); zzCONSUME;
4915 #line 1752 "./verilog.g"
4916 v_expression();
4917 #line 1752 "./verilog.g"
4918 zzmatch(V_RP); zzCONSUME;
4919 #line 1752 "./verilog.g"
4920 {
4921 zzBLOCK(zztasp2);
4922 int zzcnt=1;
4923 zzMake0;
4924 {
4925 do {
4926 #line 1752 "./verilog.g"
4927 v_case_item();
4928 zzLOOP(zztasp2);
4929 } while ( (setwd20[LA(1)]&0x4)
4930 );
4931 zzEXIT(zztasp2);
4932 }
4933 }
4934 #line 1752 "./verilog.g"
4935 zzmatch(V_ENDCASE); zzCONSUME;
4936 }
4937 else {
4938 if ( (LA(1)==V_CASEZ) ) {
4939 #line 1753 "./verilog.g"
4940 zzmatch(V_CASEZ); zzCONSUME;
4941 #line 1753 "./verilog.g"
4942 zzmatch(V_LP); zzCONSUME;
4943 #line 1753 "./verilog.g"
4944 v_expression();
4945 #line 1753 "./verilog.g"
4946 zzmatch(V_RP); zzCONSUME;
4947 #line 1753 "./verilog.g"
4948 {
4949 zzBLOCK(zztasp2);
4950 int zzcnt=1;
4951 zzMake0;
4952 {
4953 do {
4954 #line 1753 "./verilog.g"
4955 v_case_item();
4956 zzLOOP(zztasp2);
4957 } while ( (setwd20[LA(1)]&0x8) );
4958 zzEXIT(zztasp2);
4959 }
4960 }
4961 #line 1753 "./verilog.g"
4962 zzmatch(V_ENDCASE); zzCONSUME;
4963 }
4964 else {
4965 if ( (LA(1)==V_FOREVER) ) {
4966 #line 1754 "./verilog.g"
4967 zzmatch(V_FOREVER); zzCONSUME;
4968 #line 1754 "./verilog.g"
4969 v_statement();
4970 }
4971 else {
4972 if ( (LA(1)==V_REPEAT) ) {
4973 #line 1755 "./verilog.g"
4974 zzmatch(V_REPEAT); zzCONSUME;
4975 #line 1755 "./verilog.g"
4976 zzmatch(V_LP); zzCONSUME;
4977 #line 1755 "./verilog.g"
4978 v_expression();
4979 #line 1755 "./verilog.g"
4980 zzmatch(V_RP); zzCONSUME;
4981 #line 1755 "./verilog.g"
4982 v_statement();
4983 }
4984 else {
4985 if ( (LA(1)==V_WHILE)
4986 ) {
4987 #line 1756 "./verilog.g"
4988 zzmatch(V_WHILE); zzCONSUME;
4989 #line 1756 "./verilog.g"
4990 zzmatch(V_LP); zzCONSUME;
4991 #line 1756 "./verilog.g"
4992 v_expression();
4993 #line 1756 "./verilog.g"
4994 zzmatch(V_RP); zzCONSUME;
4995 #line 1756 "./verilog.g"
4996 v_statement();
4997 }
4998 else {
4999 if ( (LA(1)==V_FOR) ) {
5000 #line 1757 "./verilog.g"
5001 zzmatch(V_FOR); zzCONSUME;
5002 #line 1757 "./verilog.g"
5003 zzmatch(V_LP); zzCONSUME;
5004 #line 1757 "./verilog.g"
5005 v_assignment();
5006 #line 1757 "./verilog.g"
5007 zzmatch(V_SEMI); zzCONSUME;
5008 #line 1757 "./verilog.g"
5009 v_expression();
5010 #line 1757 "./verilog.g"
5011 zzmatch(V_SEMI); zzCONSUME;
5012 #line 1758 "./verilog.g"
5013 v_assignment();
5014 #line 1758 "./verilog.g"
5015 zzmatch(V_RP); zzCONSUME;
5016 #line 1758 "./verilog.g"
5017 v_statement();
5018 }
5019 else {
5020 if ( (setwd20[LA(1)]&0x10) ) {
5021 #line 1759 "./verilog.g"
5022 v_delay_or_event_control_stmt();
5023 #line 1759 "./verilog.g"
5024 v_statement_or_null();
5025 }
5026 else {
5027 if ( (LA(1)==V_WAIT) ) {
5028 #line 1760 "./verilog.g"
5029 zzmatch(V_WAIT); zzCONSUME;
5030 #line 1760 "./verilog.g"
5031 zzmatch(V_LP); zzCONSUME;
5032 #line 1760 "./verilog.g"
5033 v_expression();
5034 #line 1760 "./verilog.g"
5035 zzmatch(V_RP); zzCONSUME;
5036 #line 1760 "./verilog.g"
5037 v_statement_or_null();
5038 }
5039 else {
5040 if ( (LA(1)==V_RARROW) ) {
5041 #line 1761 "./verilog.g"
5042 zzmatch(V_RARROW); zzCONSUME;
5043 #line 1761 "./verilog.g"
5044 v_name_of_event();
5045 #line 1761 "./verilog.g"
5046 zzmatch(V_SEMI); zzCONSUME;
5047 }
5048 else {
5049 if ( (LA(1)==V_BEGIN)
5050 ) {
5051 #line 1762 "./verilog.g"
5052 v_seq_block();
5053 }
5054 else {
5055 if ( (LA(1)==V_FORK) ) {
5056 #line 1763 "./verilog.g"
5057 v_par_block();
5058 }
5059 else {
5060 if ( (setwd20[LA(1)]&0x20) && (setwd20[LA(2)]&0x40) ) {
5061 #line 1764 "./verilog.g"
5062 v_task_enable();
5063 }
5064 else {
5065 if ( (LA(1)==V_DISABLE) ) {
5066 #line 1765 "./verilog.g"
5067 zzmatch(V_DISABLE); zzCONSUME;
5068 #line 1765 "./verilog.g"
5069 {
5070 zzBLOCK(zztasp2);
5071 zzMake0;
5072 {
5073 #line 1765 "./verilog.g"
5074 v_name_of_task_or_block();
5075 zzEXIT(zztasp2);
5076 }
5077 }
5078 #line 1765 "./verilog.g"
5079 zzmatch(V_SEMI); zzCONSUME;
5080 }
5081 else {
5082 if ( (LA(1)==V_ASSIGN) ) {
5083 #line 1766 "./verilog.g"
5084 zzmatch(V_ASSIGN); zzCONSUME;
5085 #line 1766 "./verilog.g"
5086 v_assignment();
5087 #line 1766 "./verilog.g"
5088 zzmatch(V_SEMI); zzCONSUME;
5089 }
5090 else {
5091 if ( (LA(1)==V_DEASSIGN)
5092 ) {
5093 #line 1767 "./verilog.g"
5094 zzmatch(V_DEASSIGN); zzCONSUME;
5095 #line 1767 "./verilog.g"
5096 v_lvalue();
5097 #line 1767 "./verilog.g"
5098 zzmatch(V_SEMI); zzCONSUME;
5099 }
5100 else {
5101 if ( (LA(1)==V_FORCE) ) {
5102 #line 1768 "./verilog.g"
5103 zzmatch(V_FORCE); zzCONSUME;
5104 #line 1768 "./verilog.g"
5105 v_assignment();
5106 #line 1768 "./verilog.g"
5107 zzmatch(V_SEMI); zzCONSUME;
5108 }
5109 else {
5110 if ( (LA(1)==V_RELEASE) ) {
5111 #line 1769 "./verilog.g"
5112 zzmatch(V_RELEASE); zzCONSUME;
5113 #line 1769 "./verilog.g"
5114 v_lvalue();
5115 #line 1769 "./verilog.g"
5116 zzmatch(V_SEMI); zzCONSUME;
5117 }
5118 else {zzFAIL(2,zzerr63,zzerr64,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5119 }
5120 }
5121 }
5122 }
5123 }
5124 }
5125 }
5126 }
5127 }
5128 }
5129 }
5130 }
5131 }
5132 }
5133 }
5134 }
5135 }
5136 }
5137 }
5138 zzEXIT(zztasp1);
5139 return;
5140 fail:
5141 zzEXIT(zztasp1);
5142 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5143 zzresynch(setwd20, 0x80);
5144 }
5145 }
5146
5147 void
5148 #ifdef __USE_PROTOS
5149 v_assignment(void)
5150 #else
5151 v_assignment()
5152 #endif
5153 {
5154 #line 1772 "./verilog.g"
5155 zzRULE;
5156 zzBLOCK(zztasp1);
5157 zzMake0;
5158 {
5159 #line 1772 "./verilog.g"
5160 v_lvalue();
5161 #line 1772 "./verilog.g"
5162 zzmatch(V_EQ); zzCONSUME;
5163 #line 1772 "./verilog.g"
5164 v_expression();
5165 zzEXIT(zztasp1);
5166 return;
5167 fail:
5168 zzEXIT(zztasp1);
5169 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5170 zzresynch(setwd21, 0x1);
5171 }
5172 }
5173
5174 void
5175 #ifdef __USE_PROTOS
5176 v_block_or_non_assignment(void)
5177 #else
5178 v_block_or_non_assignment()
5179 #endif
5180 {
5181 #line 1775 "./verilog.g"
5182 zzRULE;
5183 zzBLOCK(zztasp1);
5184 zzMake0;
5185 {
5186 #line 1775 "./verilog.g"
5187 v_lvalue();
5188 #line 1775 "./verilog.g"
5189 {
5190 zzBLOCK(zztasp2);
5191 zzMake0;
5192 {
5193 if ( (LA(1)==V_EQ) ) {
5194 #line 1775 "./verilog.g"
5195 v_blocking_assignment();
5196 }
5197 else {
5198 if ( (LA(1)==V_LEQ) ) {
5199 #line 1776 "./verilog.g"
5200 v_non_blocking_assignment();
5201 }
5202 else {zzFAIL(1,zzerr65,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5203 }
5204 zzEXIT(zztasp2);
5205 }
5206 }
5207 zzEXIT(zztasp1);
5208 return;
5209 fail:
5210 zzEXIT(zztasp1);
5211 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5212 zzresynch(setwd21, 0x2);
5213 }
5214 }
5215
5216 void
5217 #ifdef __USE_PROTOS
5218 v_blocking_assignment(void)
5219 #else
5220 v_blocking_assignment()
5221 #endif
5222 {
5223 #line 1779 "./verilog.g"
5224 zzRULE;
5225 zzBLOCK(zztasp1);
5226 zzMake0;
5227 {
5228 #line 1779 "./verilog.g"
5229 zzmatch(V_EQ); zzCONSUME;
5230 #line 1779 "./verilog.g"
5231 {
5232 zzBLOCK(zztasp2);
5233 zzMake0;
5234 {
5235 if ( (setwd21[LA(1)]&0x4)
5236 ) {
5237 #line 1779 "./verilog.g"
5238 v_expression();
5239 }
5240 else {
5241 if ( (setwd21[LA(1)]&0x8) ) {
5242 #line 1780 "./verilog.g"
5243 v_delay_or_event_control();
5244 #line 1780 "./verilog.g"
5245 v_expression();
5246 }
5247 else {zzFAIL(1,zzerr66,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5248 }
5249 zzEXIT(zztasp2);
5250 }
5251 }
5252 zzEXIT(zztasp1);
5253 return;
5254 fail:
5255 zzEXIT(zztasp1);
5256 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5257 zzresynch(setwd21, 0x10);
5258 }
5259 }
5260
5261 void
5262 #ifdef __USE_PROTOS
5263 v_non_blocking_assignment(void)
5264 #else
5265 v_non_blocking_assignment()
5266 #endif
5267 {
5268 #line 1783 "./verilog.g"
5269 zzRULE;
5270 zzBLOCK(zztasp1);
5271 zzMake0;
5272 {
5273 #line 1783 "./verilog.g"
5274 zzmatch(V_LEQ); zzCONSUME;
5275 #line 1783 "./verilog.g"
5276 {
5277 zzBLOCK(zztasp2);
5278 zzMake0;
5279 {
5280 if ( (setwd21[LA(1)]&0x20) ) {
5281 #line 1783 "./verilog.g"
5282 v_expression();
5283 }
5284 else {
5285 if ( (setwd21[LA(1)]&0x40) ) {
5286 #line 1784 "./verilog.g"
5287 v_delay_or_event_control();
5288 #line 1784 "./verilog.g"
5289 v_expression();
5290 }
5291 else {zzFAIL(1,zzerr67,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5292 }
5293 zzEXIT(zztasp2);
5294 }
5295 }
5296 zzEXIT(zztasp1);
5297 return;
5298 fail:
5299 zzEXIT(zztasp1);
5300 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5301 zzresynch(setwd21, 0x80);
5302 }
5303 }
5304
5305 void
5306 #ifdef __USE_PROTOS
5307 v_delay_or_event_control(void)
5308 #else
5309 v_delay_or_event_control()
5310 #endif
5311 {
5312 #line 1787 "./verilog.g"
5313 zzRULE;
5314 zzBLOCK(zztasp1);
5315 zzMake0;
5316 {
5317 if ( (LA(1)==V_POUND) ) {
5318 #line 1787 "./verilog.g"
5319 v_delay_control();
5320 }
5321 else {
5322 if ( (LA(1)==V_AT)
5323 ) {
5324 #line 1788 "./verilog.g"
5325 v_event_control();
5326 }
5327 else {
5328 if ( (LA(1)==V_REPEAT) ) {
5329 #line 1789 "./verilog.g"
5330 zzmatch(V_REPEAT); zzCONSUME;
5331 #line 1789 "./verilog.g"
5332 zzmatch(V_LP); zzCONSUME;
5333 #line 1789 "./verilog.g"
5334 v_expression();
5335 #line 1789 "./verilog.g"
5336 zzmatch(V_RP); zzCONSUME;
5337 #line 1789 "./verilog.g"
5338 v_event_control();
5339 }
5340 else {zzFAIL(1,zzerr68,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5341 }
5342 }
5343 zzEXIT(zztasp1);
5344 return;
5345 fail:
5346 zzEXIT(zztasp1);
5347 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5348 zzresynch(setwd22, 0x1);
5349 }
5350 }
5351
5352 void
5353 #ifdef __USE_PROTOS
5354 v_delay_or_event_control_stmt(void)
5355 #else
5356 v_delay_or_event_control_stmt()
5357 #endif
5358 {
5359 #line 1792 "./verilog.g"
5360 zzRULE;
5361 zzBLOCK(zztasp1);
5362 zzMake0;
5363 {
5364 if ( (LA(1)==V_POUND) ) {
5365 #line 1792 "./verilog.g"
5366 v_delay_control();
5367 }
5368 else {
5369 if ( (LA(1)==V_AT) ) {
5370 #line 1793 "./verilog.g"
5371 v_event_control();
5372 }
5373 else {zzFAIL(1,zzerr69,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5374 }
5375 zzEXIT(zztasp1);
5376 return;
5377 fail:
5378 zzEXIT(zztasp1);
5379 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5380 zzresynch(setwd22, 0x2);
5381 }
5382 }
5383
5384 void
5385 #ifdef __USE_PROTOS
5386 v_case_item(void)
5387 #else
5388 v_case_item()
5389 #endif
5390 {
5391 #line 1796 "./verilog.g"
5392 zzRULE;
5393 zzBLOCK(zztasp1);
5394 zzMake0;
5395 {
5396 if ( (setwd22[LA(1)]&0x4) ) {
5397 #line 1796 "./verilog.g"
5398 v_explist();
5399 #line 1797 "./verilog.g"
5400 zzmatch(V_COLON); zzCONSUME;
5401 #line 1797 "./verilog.g"
5402 v_statement_or_null();
5403 }
5404 else {
5405 if ( (LA(1)==V_DEFAULT)
5406 ) {
5407 #line 1798 "./verilog.g"
5408 zzmatch(V_DEFAULT); zzCONSUME;
5409 #line 1798 "./verilog.g"
5410 {
5411 zzBLOCK(zztasp2);
5412 zzMake0;
5413 {
5414 if ( (LA(1)==V_COLON) ) {
5415 #line 1798 "./verilog.g"
5416 zzmatch(V_COLON); zzCONSUME;
5417 #line 1798 "./verilog.g"
5418 v_statement_or_null();
5419 }
5420 else {
5421 if ( (setwd22[LA(1)]&0x8) ) {
5422 #line 1799 "./verilog.g"
5423 v_statement_or_null();
5424 }
5425 else {zzFAIL(1,zzerr70,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5426 }
5427 zzEXIT(zztasp2);
5428 }
5429 }
5430 }
5431 else {zzFAIL(1,zzerr71,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5432 }
5433 zzEXIT(zztasp1);
5434 return;
5435 fail:
5436 zzEXIT(zztasp1);
5437 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5438 zzresynch(setwd22, 0x10);
5439 }
5440 }
5441
5442 void
5443 #ifdef __USE_PROTOS
5444 v_seq_block(void)
5445 #else
5446 v_seq_block()
5447 #endif
5448 {
5449 #line 1802 "./verilog.g"
5450 zzRULE;
5451 zzBLOCK(zztasp1);
5452 zzMake0;
5453 {
5454 #line 1802 "./verilog.g"
5455 zzmatch(V_BEGIN);
5456 #line 1803 "./verilog.g"
5457 {
5458 struct i_symbol_scope *sb = (struct i_symbol_scope *)calloc(1, sizeof(struct i_symbol_scope));
5459 sb->symtable = make_jrb();
5460 sb->parent = sym_base;
5461 sym_base = sb;
5462 }
5463 zzCONSUME;
5464
5465 #line 1810 "./verilog.g"
5466 {
5467 zzBLOCK(zztasp2);
5468 zzMake0;
5469 {
5470 if ( (setwd22[LA(1)]&0x20) ) {
5471 #line 1810 "./verilog.g"
5472 {
5473 zzBLOCK(zztasp3);
5474 zzMake0;
5475 {
5476 while ( (setwd22[LA(1)]&0x40) ) {
5477 #line 1810 "./verilog.g"
5478 v_statement();
5479 zzLOOP(zztasp3);
5480 }
5481 zzEXIT(zztasp3);
5482 }
5483 }
5484 }
5485 else {
5486 if ( (LA(1)==V_COLON)
5487 ) {
5488 #line 1811 "./verilog.g"
5489 zzmatch(V_COLON); zzCONSUME;
5490 #line 1811 "./verilog.g"
5491 v_name_of_block();
5492 #line 1811 "./verilog.g"
5493 {
5494 zzBLOCK(zztasp3);
5495 zzMake0;
5496 {
5497 while ( (setwd22[LA(1)]&0x80) ) {
5498 #line 1811 "./verilog.g"
5499 v_block_declaration();
5500 zzLOOP(zztasp3);
5501 }
5502 zzEXIT(zztasp3);
5503 }
5504 }
5505 #line 1812 "./verilog.g"
5506 {
5507 zzBLOCK(zztasp3);
5508 zzMake0;
5509 {
5510 while ( (setwd23[LA(1)]&0x1) ) {
5511 #line 1812 "./verilog.g"
5512 v_statement();
5513 zzLOOP(zztasp3);
5514 }
5515 zzEXIT(zztasp3);
5516 }
5517 }
5518 }
5519 else {zzFAIL(1,zzerr72,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5520 }
5521 zzEXIT(zztasp2);
5522 }
5523 }
5524 #line 1813 "./verilog.g"
5525 zzmatch(V_END);
5526 #line 1814 "./verilog.g"
5527 if(sym_base) sym_base = sym_base->parent;
5528 zzCONSUME;
5529
5530 zzEXIT(zztasp1);
5531 return;
5532 fail:
5533 zzEXIT(zztasp1);
5534 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5535 zzresynch(setwd23, 0x2);
5536 }
5537 }
5538
5539 void
5540 #ifdef __USE_PROTOS
5541 v_par_block(void)
5542 #else
5543 v_par_block()
5544 #endif
5545 {
5546 #line 1817 "./verilog.g"
5547 zzRULE;
5548 zzBLOCK(zztasp1);
5549 zzMake0;
5550 {
5551 #line 1817 "./verilog.g"
5552 zzmatch(V_FORK);
5553 #line 1818 "./verilog.g"
5554 {
5555 struct i_symbol_scope *sb = (struct i_symbol_scope *)calloc(1, sizeof(struct i_symbol_scope));
5556 sb->symtable = make_jrb();
5557 sb->parent = sym_base;
5558 sym_base = sb;
5559 }
5560 zzCONSUME;
5561
5562 #line 1825 "./verilog.g"
5563 {
5564 zzBLOCK(zztasp2);
5565 zzMake0;
5566 {
5567 if ( (setwd23[LA(1)]&0x4) ) {
5568 #line 1825 "./verilog.g"
5569 {
5570 zzBLOCK(zztasp3);
5571 zzMake0;
5572 {
5573 while ( (setwd23[LA(1)]&0x8) ) {
5574 #line 1825 "./verilog.g"
5575 v_statement();
5576 zzLOOP(zztasp3);
5577 }
5578 zzEXIT(zztasp3);
5579 }
5580 }
5581 }
5582 else {
5583 if ( (LA(1)==V_COLON)
5584 ) {
5585 #line 1826 "./verilog.g"
5586 zzmatch(V_COLON); zzCONSUME;
5587 #line 1826 "./verilog.g"
5588 v_name_of_block();
5589 #line 1826 "./verilog.g"
5590 {
5591 zzBLOCK(zztasp3);
5592 zzMake0;
5593 {
5594 while ( (setwd23[LA(1)]&0x10) ) {
5595 #line 1826 "./verilog.g"
5596 v_block_declaration();
5597 zzLOOP(zztasp3);
5598 }
5599 zzEXIT(zztasp3);
5600 }
5601 }
5602 #line 1827 "./verilog.g"
5603 {
5604 zzBLOCK(zztasp3);
5605 zzMake0;
5606 {
5607 while ( (setwd23[LA(1)]&0x20) ) {
5608 #line 1827 "./verilog.g"
5609 v_statement();
5610 zzLOOP(zztasp3);
5611 }
5612 zzEXIT(zztasp3);
5613 }
5614 }
5615 }
5616 else {zzFAIL(1,zzerr73,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5617 }
5618 zzEXIT(zztasp2);
5619 }
5620 }
5621 #line 1827 "./verilog.g"
5622 zzmatch(V_JOIN);
5623 #line 1828 "./verilog.g"
5624 if(sym_base) sym_base = sym_base->parent;
5625 zzCONSUME;
5626
5627 zzEXIT(zztasp1);
5628 return;
5629 fail:
5630 zzEXIT(zztasp1);
5631 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5632 zzresynch(setwd23, 0x40);
5633 }
5634 }
5635
5636 void
5637 #ifdef __USE_PROTOS
5638 v_name_of_block(void)
5639 #else
5640 v_name_of_block()
5641 #endif
5642 {
5643 #line 1831 "./verilog.g"
5644 zzRULE;
5645 zzBLOCK(zztasp1);
5646 zzMake0;
5647 {
5648 #line 1831 "./verilog.g"
5649 v_identifier_nodot();
5650 zzEXIT(zztasp1);
5651 return;
5652 fail:
5653 zzEXIT(zztasp1);
5654 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5655 zzresynch(setwd23, 0x80);
5656 }
5657 }
5658
5659 void
5660 #ifdef __USE_PROTOS
5661 v_block_declaration(void)
5662 #else
5663 v_block_declaration()
5664 #endif
5665 {
5666 #line 1834 "./verilog.g"
5667 zzRULE;
5668 zzBLOCK(zztasp1);
5669 zzMake0;
5670 {
5671 if ( (LA(1)==V_PARAMETER) ) {
5672 #line 1834 "./verilog.g"
5673 v_parameter_declaration();
5674 }
5675 else {
5676 if ( (LA(1)==V_REG) ) {
5677 #line 1835 "./verilog.g"
5678 v_reg_declaration();
5679 }
5680 else {
5681 if ( (LA(1)==V_INTEGER)
5682 ) {
5683 #line 1836 "./verilog.g"
5684 v_integer_declaration();
5685 }
5686 else {
5687 if ( (LA(1)==V_REAL) ) {
5688 #line 1837 "./verilog.g"
5689 v_real_declaration();
5690 }
5691 else {
5692 if ( (LA(1)==V_TIME) ) {
5693 #line 1838 "./verilog.g"
5694 v_time_declaration();
5695 }
5696 else {
5697 if ( (LA(1)==V_EVENT) ) {
5698 #line 1839 "./verilog.g"
5699 v_event_declaration();
5700 }
5701 else {zzFAIL(1,zzerr74,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5702 }
5703 }
5704 }
5705 }
5706 }
5707 zzEXIT(zztasp1);
5708 return;
5709 fail:
5710 zzEXIT(zztasp1);
5711 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5712 zzresynch(setwd24, 0x1);
5713 }
5714 }
5715
5716 void
5717 #ifdef __USE_PROTOS
5718 v_task_enable(void)
5719 #else
5720 v_task_enable()
5721 #endif
5722 {
5723 #line 1842 "./verilog.g"
5724 zzRULE;
5725 zzBLOCK(zztasp1);
5726 zzMake0;
5727 {
5728 if ( (setwd24[LA(1)]&0x2) && (LA(2)==V_SEMI) ) {
5729 #line 1842 "./verilog.g"
5730 v_name_of_task();
5731 #line 1842 "./verilog.g"
5732 zzmatch(V_SEMI); zzCONSUME;
5733 }
5734 else {
5735 if ( (setwd24[LA(1)]&0x4) &&
5736 (LA(2)==V_LP) ) {
5737 #line 1843 "./verilog.g"
5738 v_name_of_task();
5739 #line 1843 "./verilog.g"
5740 zzmatch(V_LP); zzCONSUME;
5741 #line 1843 "./verilog.g"
5742 v_explist();
5743 #line 1843 "./verilog.g"
5744 zzmatch(V_RP); zzCONSUME;
5745 #line 1843 "./verilog.g"
5746 zzmatch(V_SEMI); zzCONSUME;
5747 }
5748 else {zzFAIL(2,zzerr75,zzerr76,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5749 }
5750 zzEXIT(zztasp1);
5751 return;
5752 fail:
5753 zzEXIT(zztasp1);
5754 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5755 zzresynch(setwd24, 0x8);
5756 }
5757 }
5758
5759 void
5760 #ifdef __USE_PROTOS
5761 v_name_of_task(void)
5762 #else
5763 v_name_of_task()
5764 #endif
5765 {
5766 #line 1846 "./verilog.g"
5767 zzRULE;
5768 zzBLOCK(zztasp1);
5769 zzMake0;
5770 {
5771 #line 1846 "./verilog.g"
5772 v_identifier();
5773 zzEXIT(zztasp1);
5774 return;
5775 fail:
5776 zzEXIT(zztasp1);
5777 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5778 zzresynch(setwd24, 0x10);
5779 }
5780 }
5781
5782 void
5783 #ifdef __USE_PROTOS
5784 v_name_of_task_or_block(void)
5785 #else
5786 v_name_of_task_or_block()
5787 #endif
5788 {
5789 #line 1850 "./verilog.g"
5790 zzRULE;
5791 zzBLOCK(zztasp1);
5792 zzMake0;
5793 {
5794 #line 1850 "./verilog.g"
5795 v_identifier_nodot();
5796 zzEXIT(zztasp1);
5797 return;
5798 fail:
5799 zzEXIT(zztasp1);
5800 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5801 zzresynch(setwd24, 0x20);
5802 }
5803 }
5804
5805 void
5806 #ifdef __USE_PROTOS
5807 v_specify_block(void)
5808 #else
5809 v_specify_block()
5810 #endif
5811 {
5812 #line 1857 "./verilog.g"
5813 zzRULE;
5814 zzBLOCK(zztasp1);
5815 zzMake0;
5816 {
5817 #line 1857 "./verilog.g"
5818 zzmatch(V_SPECIFY); zzCONSUME;
5819 #line 1857 "./verilog.g"
5820 {
5821 zzBLOCK(zztasp2);
5822 zzMake0;
5823 {
5824 while ( (setwd24[LA(1)]&0x40) ) {
5825 #line 1857 "./verilog.g"
5826 zzsetmatch(zzerr77, zzerr78); zzCONSUME;
5827 zzLOOP(zztasp2);
5828 }
5829 zzEXIT(zztasp2);
5830 }
5831 }
5832 #line 1857 "./verilog.g"
5833 zzmatch(V_ENDSPECIFY); zzCONSUME;
5834 zzEXIT(zztasp1);
5835 return;
5836 fail:
5837 zzEXIT(zztasp1);
5838 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5839 zzresynch(setwd24, 0x80);
5840 }
5841 }
5842
5843 void
5844 #ifdef __USE_PROTOS
5845 v_constant_expression(void)
5846 #else
5847 v_constant_expression()
5848 #endif
5849 {
5850 #line 1860 "./verilog.g"
5851 zzRULE;
5852 zzBLOCK(zztasp1);
5853 zzMake0;
5854 {
5855 #line 1860 "./verilog.g"
5856 v_expression();
5857 #line 1861 "./verilog.g"
5858 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
5859 zzEXIT(zztasp1);
5860 return;
5861 fail:
5862 zzEXIT(zztasp1);
5863 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5864 zzresynch(setwd25, 0x1);
5865 }
5866 }
5867
5868 void
5869 #ifdef __USE_PROTOS
5870 v_lvalue(void)
5871 #else
5872 v_lvalue()
5873 #endif
5874 {
5875 #line 1868 "./verilog.g"
5876 zzRULE;
5877 zzBLOCK(zztasp1);
5878 zzMake0;
5879 {
5880 if ( (setwd25[LA(1)]&0x2) ) {
5881 #line 1868 "./verilog.g"
5882 v_identifier();
5883 #line 1870 "./verilog.g"
5884 {
5885 zzBLOCK(zztasp2);
5886 zzMake0;
5887 {
5888 if ( (LA(1)==V_LBRACK) ) {
5889 #line 1870 "./verilog.g"
5890 zzmatch(V_LBRACK); zzCONSUME;
5891 #line 1870 "./verilog.g"
5892 v_expression();
5893 #line 1871 "./verilog.g"
5894 {
5895 zzBLOCK(zztasp3);
5896 zzMake0;
5897 {
5898 if ( (LA(1)==V_COLON) ) {
5899 #line 1871 "./verilog.g"
5900 zzmatch(V_COLON); zzCONSUME;
5901 #line 1871 "./verilog.g"
5902 v_expression();
5903 #line 1872 "./verilog.g"
5904 zzaRet.prim = i_primary_symrange_make(zzaArg(zztasp1,1).symbol,zzaArg(zztasp2,2).prim,zzaArg(zztasp3,2).prim);
5905 }
5906 else {
5907 if ( (LA(1)==V_RBRACK)
5908 ) {
5909 #line 1873 "./verilog.g"
5910 zzaRet.prim = i_primary_symbit_make(zzaArg(zztasp1,1).symbol,zzaArg(zztasp2,2).prim);
5911 }
5912 else {zzFAIL(1,zzerr79,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5913 }
5914 zzEXIT(zztasp3);
5915 }
5916 }
5917 #line 1874 "./verilog.g"
5918 zzmatch(V_RBRACK); zzCONSUME;
5919 }
5920 else {
5921 if ( (setwd25[LA(1)]&0x4) ) {
5922 #line 1874 "./verilog.g"
5923 zzaRet.prim = i_primary_make(PRIM_SYMBOL,zzaArg(zztasp1,1).symbol);
5924 }
5925 else {zzFAIL(1,zzerr80,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5926 }
5927 zzEXIT(zztasp2);
5928 }
5929 }
5930 }
5931 else {
5932 if ( (LA(1)==V_LBRACE) ) {
5933 #line 1876 "./verilog.g"
5934 v_concatenation();
5935 #line 1876 "./verilog.g"
5936 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
5937 }
5938 else {zzFAIL(1,zzerr81,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5939 }
5940 zzEXIT(zztasp1);
5941 return;
5942 fail:
5943 zzEXIT(zztasp1);
5944 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5945 zzresynch(setwd25, 0x8);
5946 }
5947 }
5948
5949 void
5950 #ifdef __USE_PROTOS
5951 v_expression(void)
5952 #else
5953 v_expression()
5954 #endif
5955 {
5956 #line 1879 "./verilog.g"
5957 zzRULE;
5958 zzBLOCK(zztasp1);
5959 zzMake0;
5960 {
5961 #line 1879 "./verilog.g"
5962 push_exp_now();
5963 #line 1879 "./verilog.g"
5964 v_expression2();
5965 #line 1879 "./verilog.g"
5966
5967 if(!zzerrors)
5968 {
5969 push_oper(i_oper_make(V_EOF,0));
5970 push_primary(NULL);
5971 pop_exp_now();
5972 zzaRet.prim = shred_expression();
5973 }
5974 else
5975 {
5976 zzaRet.prim = NULL;
5977 }
5978 zzEXIT(zztasp1);
5979 return;
5980 fail:
5981 zzEXIT(zztasp1);
5982 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5983 zzresynch(setwd25, 0x10);
5984 }
5985 }
5986
5987 void
5988 #ifdef __USE_PROTOS
5989 v_expression2(void)
5990 #else
5991 v_expression2()
5992 #endif
5993 {
5994 #line 1894 "./verilog.g"
5995 zzRULE;
5996 zzBLOCK(zztasp1);
5997 zzMake0;
5998 {
5999 #line 1894 "./verilog.g"
6000 {
6001 zzBLOCK(zztasp2);
6002 zzMake0;
6003 {
6004 if ( (setwd25[LA(1)]&0x20) ) {
6005 #line 1894 "./verilog.g"
6006 v_primary();
6007 #line 1894 "./verilog.g"
6008 push_primary(zzaArg(zztasp2,1 ).prim);
6009 }
6010 else {
6011 if ( (setwd25[LA(1)]&0x40) ) {
6012 #line 1895 "./verilog.g"
6013 v_unary_operator();
6014 #line 1895 "./verilog.g"
6015 v_primary();
6016 #line 1896 "./verilog.g"
6017 push_primary(NULL); push_oper(zzaArg(zztasp2,1 ).oper); push_primary(zzaArg(zztasp2,2 ).prim);
6018 }
6019 else {
6020 if ( (LA(1)==V_STRING)
6021 ) {
6022 #line 1897 "./verilog.g"
6023 zzmatch(V_STRING);
6024 #line 1897 "./verilog.g"
6025 push_primary(i_primary_make(PRIM_NUMBER, i_number_basemake(NV_STRING, zzaArg(zztasp2,1 ).text)));
6026 zzCONSUME;
6027
6028 }
6029 else {zzFAIL(1,zzerr82,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6030 }
6031 }
6032 zzEXIT(zztasp2);
6033 }
6034 }
6035 #line 1898 "./verilog.g"
6036 {
6037 zzBLOCK(zztasp2);
6038 zzMake0;
6039 {
6040 if ( (setwd25[LA(1)]&0x80) ) {
6041 #line 1898 "./verilog.g"
6042 v_binary_operator();
6043 #line 1898 "./verilog.g"
6044 push_oper(zzaArg(zztasp2,1 ).oper);
6045 #line 1898 "./verilog.g"
6046 v_expression2();
6047 }
6048 else {
6049 if ( (LA(1)==V_QUEST) ) {
6050 #line 1899 "./verilog.g"
6051 zzmatch(V_QUEST); zzCONSUME;
6052 #line 1899 "./verilog.g"
6053 v_expression();
6054 #line 1899 "./verilog.g"
6055 zzmatch(V_COLON); zzCONSUME;
6056 #line 1899 "./verilog.g"
6057 v_expression();
6058 #line 1900 "./verilog.g"
6059 push_oper(i_oper_make(V_QUEST,1));
6060 push_primary(i_bin_expr_make(zzaArg(zztasp2,2 ).prim,i_oper_make(V_COLON, 1),zzaArg(zztasp2,4 ).prim));
6061 }
6062 else {
6063 if ( (setwd26[LA(1)]&0x1) ) {
6064 }
6065 else {zzFAIL(1,zzerr83,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6066 }
6067 }
6068 zzEXIT(zztasp2);
6069 }
6070 }
6071 zzEXIT(zztasp1);
6072 return;
6073 fail:
6074 zzEXIT(zztasp1);
6075 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6076 zzresynch(setwd26, 0x2);
6077 }
6078 }
6079
6080 void
6081 #ifdef __USE_PROTOS
6082 v_mintypmax_expression(void)
6083 #else
6084 v_mintypmax_expression()
6085 #endif
6086 {
6087 #line 1905 "./verilog.g"
6088 zzRULE;
6089 zzBLOCK(zztasp1);
6090 zzMake0;
6091 {
6092 #line 1905 "./verilog.g"
6093 v_expression();
6094 #line 1906 "./verilog.g"
6095 {
6096 zzBLOCK(zztasp2);
6097 zzMake0;
6098 {
6099 if ( (LA(1)==V_COLON) ) {
6100 #line 1906 "./verilog.g"
6101 zzmatch(V_COLON); zzCONSUME;
6102 #line 1906 "./verilog.g"
6103 v_expression();
6104 #line 1906 "./verilog.g"
6105 zzmatch(V_COLON); zzCONSUME;
6106 #line 1906 "./verilog.g"
6107 v_expression();
6108 #line 1907 "./verilog.g"
6109 zzaRet.prim = i_primary_mintypmax_make(zzaArg(zztasp1,1).prim, zzaArg(zztasp2,2).prim, zzaArg(zztasp2,4).prim);
6110 }
6111 else {
6112 if ( (setwd26[LA(1)]&0x4)
6113 ) {
6114 #line 1908 "./verilog.g"
6115 zzaRet.prim = zzaArg(zztasp1,1).prim;
6116 }
6117 else {zzFAIL(1,zzerr84,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6118 }
6119 zzEXIT(zztasp2);
6120 }
6121 }
6122 zzEXIT(zztasp1);
6123 return;
6124 fail:
6125 zzEXIT(zztasp1);
6126 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6127 zzresynch(setwd26, 0x8);
6128 }
6129 }
6130
6131 void
6132 #ifdef __USE_PROTOS
6133 v_unary_operator(void)
6134 #else
6135 v_unary_operator()
6136 #endif
6137 {
6138 #line 1911 "./verilog.g"
6139 zzRULE;
6140 zzBLOCK(zztasp1);
6141 zzMake0;
6142 {
6143 if ( (LA(1)==V_PLUS) ) {
6144 #line 1911 "./verilog.g"
6145 zzmatch(V_PLUS);
6146 #line 1911 "./verilog.g"
6147 zzaRet.oper = i_oper_make(V_PLUS, 11);
6148 zzCONSUME;
6149
6150 }
6151 else {
6152 if ( (LA(1)==V_MINUS) ) {
6153 #line 1912 "./verilog.g"
6154 zzmatch(V_MINUS);
6155 #line 1912 "./verilog.g"
6156 zzaRet.oper = i_oper_make(V_MINUS,11);
6157 zzCONSUME;
6158
6159 }
6160 else {
6161 if ( (LA(1)==V_BANG) ) {
6162 #line 1913 "./verilog.g"
6163 zzmatch(V_BANG);
6164 #line 1913 "./verilog.g"
6165 zzaRet.oper = i_oper_make(V_BANG, 11);
6166 zzCONSUME;
6167
6168 }
6169 else {
6170 if ( (LA(1)==V_TILDE) ) {
6171 #line 1914 "./verilog.g"
6172 zzmatch(V_TILDE);
6173 #line 1914 "./verilog.g"
6174 zzaRet.oper = i_oper_make(V_TILDE,11);
6175 zzCONSUME;
6176
6177 }
6178 else {
6179 if ( (LA(1)==V_AND)
6180 ) {
6181 #line 1915 "./verilog.g"
6182 zzmatch(V_AND);
6183 #line 1915 "./verilog.g"
6184 zzaRet.oper = i_oper_make(V_AND, 11);
6185 zzCONSUME;
6186
6187 }
6188 else {
6189 if ( (LA(1)==V_NAND) ) {
6190 #line 1916 "./verilog.g"
6191 zzmatch(V_NAND);
6192 #line 1916 "./verilog.g"
6193 zzaRet.oper = i_oper_make(V_NAND, 11);
6194 zzCONSUME;
6195
6196 }
6197 else {
6198 if ( (LA(1)==V_OR) ) {
6199 #line 1917 "./verilog.g"
6200 zzmatch(V_OR);
6201 #line 1917 "./verilog.g"
6202 zzaRet.oper = i_oper_make(V_OR, 11);
6203 zzCONSUME;
6204
6205 }
6206 else {
6207 if ( (LA(1)==V_NOR) ) {
6208 #line 1918 "./verilog.g"
6209 zzmatch(V_NOR);
6210 #line 1918 "./verilog.g"
6211 zzaRet.oper = i_oper_make(V_NOR, 11);
6212 zzCONSUME;
6213
6214 }
6215 else {
6216 if ( (LA(1)==V_XOR) ) {
6217 #line 1919 "./verilog.g"
6218 zzmatch(V_XOR);
6219 #line 1919 "./verilog.g"
6220 zzaRet.oper = i_oper_make(V_XOR, 11);
6221 zzCONSUME;
6222
6223 }
6224 else {
6225 if ( (LA(1)==V_XNOR)
6226 ) {
6227 #line 1920 "./verilog.g"
6228 zzmatch(V_XNOR);
6229 #line 1920 "./verilog.g"
6230 zzaRet.oper = i_oper_make(V_XNOR, 11);
6231 zzCONSUME;
6232
6233 }
6234 else {
6235 if ( (LA(1)==V_XNOR2) ) {
6236 #line 1921 "./verilog.g"
6237 zzmatch(V_XNOR2);
6238 #line 1921 "./verilog.g"
6239 zzaRet.oper = i_oper_make(V_XNOR, 11);
6240 zzCONSUME;
6241
6242 }
6243 else {zzFAIL(1,zzerr85,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6244 }
6245 }
6246 }
6247 }
6248 }
6249 }
6250 }
6251 }
6252 }
6253 }
6254 zzEXIT(zztasp1);
6255 return;
6256 fail:
6257 zzEXIT(zztasp1);
6258 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6259 zzresynch(setwd26, 0x10);
6260 }
6261 }
6262
6263 void
6264 #ifdef __USE_PROTOS
6265 v_binary_operator(void)
6266 #else
6267 v_binary_operator()
6268 #endif
6269 {
6270 #line 1924 "./verilog.g"
6271 zzRULE;
6272 zzBLOCK(zztasp1);
6273 zzMake0;
6274 {
6275 if ( (LA(1)==V_STAR) ) {
6276 #line 1924 "./verilog.g"
6277 zzmatch(V_STAR);
6278 #line 1924 "./verilog.g"
6279 zzaRet.oper = i_oper_make(V_STAR, 10);
6280 zzCONSUME;
6281
6282 }
6283 else {
6284 if ( (LA(1)==V_SLASH) ) {
6285 #line 1925 "./verilog.g"
6286 zzmatch(V_SLASH);
6287 #line 1925 "./verilog.g"
6288 zzaRet.oper = i_oper_make(V_SLASH,10);
6289 zzCONSUME;
6290
6291 }
6292 else {
6293 if ( (LA(1)==V_MOD) ) {
6294 #line 1926 "./verilog.g"
6295 zzmatch(V_MOD);
6296 #line 1926 "./verilog.g"
6297 zzaRet.oper = i_oper_make(V_MOD, 10);
6298 zzCONSUME;
6299
6300 }
6301 else {
6302 if ( (LA(1)==V_PLUS)
6303 ) {
6304 #line 1927 "./verilog.g"
6305 zzmatch(V_PLUS);
6306 #line 1927 "./verilog.g"
6307 zzaRet.oper = i_oper_make(V_PLUS, 9);
6308 zzCONSUME;
6309
6310 }
6311 else {
6312 if ( (LA(1)==V_MINUS) ) {
6313 #line 1928 "./verilog.g"
6314 zzmatch(V_MINUS);
6315 #line 1928 "./verilog.g"
6316 zzaRet.oper = i_oper_make(V_MINUS, 9);
6317 zzCONSUME;
6318
6319 }
6320 else {
6321 if ( (LA(1)==V_SHL) ) {
6322 #line 1929 "./verilog.g"
6323 zzmatch(V_SHL);
6324 #line 1929 "./verilog.g"
6325 zzaRet.oper = i_oper_make(V_SHL, 8);
6326 zzCONSUME;
6327
6328 }
6329 else {
6330 if ( (LA(1)==V_SHR) ) {
6331 #line 1930 "./verilog.g"
6332 zzmatch(V_SHR);
6333 #line 1930 "./verilog.g"
6334 zzaRet.oper = i_oper_make(V_SHR, 8);
6335 zzCONSUME;
6336
6337 }
6338 else {
6339 if ( (LA(1)==V_LT) ) {
6340 #line 1931 "./verilog.g"
6341 zzmatch(V_LT);
6342 #line 1931 "./verilog.g"
6343 zzaRet.oper = i_oper_make(V_LT, 7);
6344 zzCONSUME;
6345
6346 }
6347 else {
6348 if ( (LA(1)==V_LEQ)
6349 ) {
6350 #line 1932 "./verilog.g"
6351 zzmatch(V_LEQ);
6352 #line 1932 "./verilog.g"
6353 zzaRet.oper = i_oper_make(V_LEQ, 7);
6354 zzCONSUME;
6355
6356 }
6357 else {
6358 if ( (LA(1)==V_GT) ) {
6359 #line 1933 "./verilog.g"
6360 zzmatch(V_GT);
6361 #line 1933 "./verilog.g"
6362 zzaRet.oper = i_oper_make(V_GT, 7);
6363 zzCONSUME;
6364
6365 }
6366 else {
6367 if ( (LA(1)==V_GEQ) ) {
6368 #line 1934 "./verilog.g"
6369 zzmatch(V_GEQ);
6370 #line 1934 "./verilog.g"
6371 zzaRet.oper = i_oper_make(V_GEQ, 7);
6372 zzCONSUME;
6373
6374 }
6375 else {
6376 if ( (LA(1)==V_EQ2) ) {
6377 #line 1935 "./verilog.g"
6378 zzmatch(V_EQ2);
6379 #line 1935 "./verilog.g"
6380 zzaRet.oper = i_oper_make(V_EQ2, 6);
6381 zzCONSUME;
6382
6383 }
6384 else {
6385 if ( (LA(1)==V_NEQ) ) {
6386 #line 1936 "./verilog.g"
6387 zzmatch(V_NEQ);
6388 #line 1936 "./verilog.g"
6389 zzaRet.oper = i_oper_make(V_NEQ, 6);
6390 zzCONSUME;
6391
6392 }
6393 else {
6394 if ( (LA(1)==V_EQ3)
6395 ) {
6396 #line 1937 "./verilog.g"
6397 zzmatch(V_EQ3);
6398 #line 1937 "./verilog.g"
6399 zzaRet.oper = i_oper_make(V_EQ3, 6);
6400 zzCONSUME;
6401
6402 }
6403 else {
6404 if ( (LA(1)==V_NEQ2) ) {
6405 #line 1938 "./verilog.g"
6406 zzmatch(V_NEQ2);
6407 #line 1938 "./verilog.g"
6408 zzaRet.oper = i_oper_make(V_NEQ2, 6);
6409 zzCONSUME;
6410
6411 }
6412 else {
6413 if ( (LA(1)==V_AND) ) {
6414 #line 1939 "./verilog.g"
6415 zzmatch(V_AND);
6416 #line 1939 "./verilog.g"
6417 zzaRet.oper = i_oper_make(V_AND, 5);
6418 zzCONSUME;
6419
6420 }
6421 else {
6422 if ( (LA(1)==V_NAND) ) {
6423 #line 1940 "./verilog.g"
6424 zzmatch(V_NAND);
6425 #line 1940 "./verilog.g"
6426 zzaRet.oper = i_oper_make(V_NAND, 5);
6427 zzCONSUME;
6428
6429 }
6430 else {
6431 if ( (LA(1)==V_XOR) ) {
6432 #line 1941 "./verilog.g"
6433 zzmatch(V_XOR);
6434 #line 1941 "./verilog.g"
6435 zzaRet.oper = i_oper_make(V_XOR, 5);
6436 zzCONSUME;
6437
6438 }
6439 else {
6440 if ( (LA(1)==V_XNOR)
6441 ) {
6442 #line 1942 "./verilog.g"
6443 zzmatch(V_XNOR);
6444 #line 1942 "./verilog.g"
6445 zzaRet.oper = i_oper_make(V_XNOR, 5);
6446 zzCONSUME;
6447
6448 }
6449 else {
6450 if ( (LA(1)==V_XNOR2) ) {
6451 #line 1943 "./verilog.g"
6452 zzmatch(V_XNOR2);
6453 #line 1943 "./verilog.g"
6454 zzaRet.oper = i_oper_make(V_XNOR, 5);
6455 zzCONSUME;
6456
6457 }
6458 else {
6459 if ( (LA(1)==V_OR) ) {
6460 #line 1944 "./verilog.g"
6461 zzmatch(V_OR);
6462 #line 1944 "./verilog.g"
6463 zzaRet.oper = i_oper_make(V_OR, 4);
6464 zzCONSUME;
6465
6466 }
6467 else {
6468 if ( (LA(1)==V_NOR) ) {
6469 #line 1945 "./verilog.g"
6470 zzmatch(V_NOR);
6471 #line 1945 "./verilog.g"
6472 zzaRet.oper = i_oper_make(V_NOR, 4);
6473 zzCONSUME;
6474
6475 }
6476 else {
6477 if ( (LA(1)==V_AND2) ) {
6478 #line 1946 "./verilog.g"
6479 zzmatch(V_AND2);
6480 #line 1946 "./verilog.g"
6481 zzaRet.oper = i_oper_make(V_AND2, 3);
6482 zzCONSUME;
6483
6484 }
6485 else {
6486 if ( (LA(1)==V_OR2)
6487 ) {
6488 #line 1947 "./verilog.g"
6489 zzmatch(V_OR2);
6490 #line 1947 "./verilog.g"
6491 zzaRet.oper = i_oper_make(V_OR2, 2);
6492 zzCONSUME;
6493
6494 }
6495 else {zzFAIL(1,zzerr86,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6496 }
6497 }
6498 }
6499 }
6500 }
6501 }
6502 }
6503 }
6504 }
6505 }
6506 }
6507 }
6508 }
6509 }
6510 }
6511 }
6512 }
6513 }
6514 }
6515 }
6516 }
6517 }
6518 }
6519 zzEXIT(zztasp1);
6520 return;
6521 fail:
6522 zzEXIT(zztasp1);
6523 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6524 zzresynch(setwd26, 0x20);
6525 }
6526 }
6527
6528 void
6529 #ifdef __USE_PROTOS
6530 v_primary(void)
6531 #else
6532 v_primary()
6533 #endif
6534 {
6535 #line 1950 "./verilog.g"
6536 zzRULE;
6537 zzBLOCK(zztasp1);
6538 zzMake0;
6539 {
6540 if ( (setwd26[LA(1)]&0x40) ) {
6541 #line 1950 "./verilog.g"
6542 v_number();
6543 #line 1950 "./verilog.g"
6544 zzaRet.prim = i_primary_make(PRIM_NUMBER,zzaArg(zztasp1,1 ).num);
6545 }
6546 else {
6547 if ( (setwd26[LA(1)]&0x80) && (setwd27[LA(2)]&0x1) ) {
6548 #line 1951 "./verilog.g"
6549 v_identifier();
6550 #line 1952 "./verilog.g"
6551 {
6552 zzBLOCK(zztasp2);
6553 zzMake0;
6554 {
6555 if ( (LA(1)==V_LBRACK) ) {
6556 #line 1952 "./verilog.g"
6557 zzmatch(V_LBRACK); zzCONSUME;
6558 #line 1952 "./verilog.g"
6559 v_expression();
6560 #line 1953 "./verilog.g"
6561 {
6562 zzBLOCK(zztasp3);
6563 zzMake0;
6564 {
6565 if ( (LA(1)==V_RBRACK) ) {
6566 #line 1953 "./verilog.g"
6567 zzmatch(V_RBRACK);
6568 #line 1954 "./verilog.g"
6569 zzaRet.prim= i_primary_symbit_make(zzaArg(zztasp1,1).symbol,zzaArg(zztasp2,2).prim);
6570 zzCONSUME;
6571
6572 }
6573 else {
6574 if ( (LA(1)==V_COLON)
6575 ) {
6576 #line 1955 "./verilog.g"
6577 zzmatch(V_COLON); zzCONSUME;
6578 #line 1955 "./verilog.g"
6579 v_expression();
6580 #line 1955 "./verilog.g"
6581 zzmatch(V_RBRACK);
6582 #line 1956 "./verilog.g"
6583 zzaRet.prim= i_primary_symrange_make(zzaArg(zztasp1,1).symbol,zzaArg(zztasp2,2).prim,zzaArg(zztasp3,2).prim);
6584 zzCONSUME;
6585
6586 }
6587 else {zzFAIL(1,zzerr87,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6588 }
6589 zzEXIT(zztasp3);
6590 }
6591 }
6592 }
6593 else {
6594 if ( (setwd27[LA(1)]&0x2) ) {
6595 #line 1957 "./verilog.g"
6596 zzaRet.prim= i_primary_make(PRIM_SYMBOL,zzaArg(zztasp1,1).symbol);
6597 }
6598 else {zzFAIL(1,zzerr88,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6599 }
6600 zzEXIT(zztasp2);
6601 }
6602 }
6603 }
6604 else {
6605 if ( (LA(1)==V_LBRACE) ) {
6606 #line 1958 "./verilog.g"
6607 v_multiple_concatenation();
6608 #line 1958 "./verilog.g"
6609 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
6610 }
6611 else {
6612 if ( (setwd27[LA(1)]&0x4) && (LA(2)==V_LP) ) {
6613 #line 1959 "./verilog.g"
6614 v_function_call();
6615 #line 1959 "./verilog.g"
6616 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
6617 }
6618 else {
6619 if ( (LA(1)==V_LP) ) {
6620 #line 1960 "./verilog.g"
6621 zzmatch(V_LP); zzCONSUME;
6622 #line 1960 "./verilog.g"
6623 v_mintypmax_expression();
6624 #line 1960 "./verilog.g"
6625 zzmatch(V_RP);
6626 #line 1960 "./verilog.g"
6627 zzaRet.prim = zzaArg(zztasp1,2 ).prim;
6628 zzCONSUME;
6629
6630 }
6631 else {zzFAIL(2,zzerr89,zzerr90,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6632 }
6633 }
6634 }
6635 }
6636 zzEXIT(zztasp1);
6637 return;
6638 fail:
6639 zzEXIT(zztasp1);
6640 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6641 zzresynch(setwd27, 0x8);
6642 }
6643 }
6644
6645 void
6646 #ifdef __USE_PROTOS
6647 v_number(void)
6648 #else
6649 v_number()
6650 #endif
6651 {
6652 #line 1964 "./verilog.g"
6653 zzRULE;
6654 zzBLOCK(zztasp1);
6655 zzMake0;
6656 {
6657 if ( (LA(1)==V_DECIMAL_NUMBER)
6658 ) {
6659 #line 1964 "./verilog.g"
6660 zzmatch(V_DECIMAL_NUMBER);
6661 #line 1964 "./verilog.g"
6662 zzaRet.num = i_number_make(zzaArg(zztasp1,1).ival);
6663 zzCONSUME;
6664
6665 }
6666 else {
6667 if ( (LA(1)==V_HBASE) ) {
6668 #line 1965 "./verilog.g"
6669 zzmatch(V_HBASE);
6670 #line 1965 "./verilog.g"
6671 zzaRet.num = i_number_basemake(NV_HBASE, zzaArg(zztasp1,1 ).text);
6672 zzCONSUME;
6673
6674 }
6675 else {
6676 if ( (LA(1)==V_DBASE) ) {
6677 #line 1966 "./verilog.g"
6678 zzmatch(V_DBASE);
6679 #line 1966 "./verilog.g"
6680 zzaRet.num = i_number_basemake(NV_DBASE, zzaArg(zztasp1,1 ).text);
6681 zzCONSUME;
6682
6683 }
6684 else {
6685 if ( (LA(1)==V_BBASE) ) {
6686 #line 1967 "./verilog.g"
6687 zzmatch(V_BBASE);
6688 #line 1967 "./verilog.g"
6689 zzaRet.num = i_number_basemake(NV_BBASE, zzaArg(zztasp1,1 ).text);
6690 zzCONSUME;
6691
6692 }
6693 else {
6694 if ( (LA(1)==V_OBASE) ) {
6695 #line 1968 "./verilog.g"
6696 zzmatch(V_OBASE);
6697 #line 1968 "./verilog.g"
6698 zzaRet.num = i_number_basemake(NV_OBASE, zzaArg(zztasp1,1 ).text);
6699 zzCONSUME;
6700
6701 }
6702 else {
6703 if ( (LA(1)==V_FLOAT1)
6704 ) {
6705 #line 1969 "./verilog.g"
6706 zzmatch(V_FLOAT1);
6707 #line 1969 "./verilog.g"
6708 zzaRet.num = i_number_fmake(zzaArg(zztasp1,1 ).rval);
6709 zzCONSUME;
6710
6711 }
6712 else {
6713 if ( (LA(1)==V_FLOAT2) ) {
6714 #line 1970 "./verilog.g"
6715 zzmatch(V_FLOAT2);
6716 #line 1970 "./verilog.g"
6717 zzaRet.num = i_number_fmake(zzaArg(zztasp1,1 ).rval);
6718 zzCONSUME;
6719
6720 }
6721 else {zzFAIL(1,zzerr91,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6722 }
6723 }
6724 }
6725 }
6726 }
6727 }
6728 zzEXIT(zztasp1);
6729 return;
6730 fail:
6731 zzEXIT(zztasp1);
6732 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6733 zzresynch(setwd27, 0x10);
6734 }
6735 }
6736
6737 void
6738 #ifdef __USE_PROTOS
6739 v_concatenation(void)
6740 #else
6741 v_concatenation()
6742 #endif
6743 {
6744 #line 1973 "./verilog.g"
6745 zzRULE;
6746 zzBLOCK(zztasp1);
6747 zzMake0;
6748 {
6749 #line 1974 "./verilog.g"
6750 zzmatch(V_LBRACE); zzCONSUME;
6751 #line 1974 "./verilog.g"
6752 v_explist();
6753 #line 1974 "./verilog.g"
6754 zzmatch(V_RBRACE);
6755 #line 1975 "./verilog.g"
6756 zzaRet.prim = i_primary_concat_make(NULL,zzaArg(zztasp1,2 ).explist);
6757 zzCONSUME;
6758
6759 zzEXIT(zztasp1);
6760 return;
6761 fail:
6762 zzEXIT(zztasp1);
6763 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6764 zzresynch(setwd27, 0x20);
6765 }
6766 }
6767
6768 void
6769 #ifdef __USE_PROTOS
6770 v_multiple_concatenation(void)
6771 #else
6772 v_multiple_concatenation()
6773 #endif
6774 {
6775 #line 1978 "./verilog.g"
6776 zzRULE;
6777 zzBLOCK(zztasp1);
6778 zzMake0;
6779 {
6780 #line 1979 "./verilog.g"
6781 struct i_explist *lroot=NULL, *lcurrent=NULL;
6782 #line 1980 "./verilog.g"
6783 zzmatch(V_LBRACE); zzCONSUME;
6784 #line 1980 "./verilog.g"
6785 v_expression();
6786 #line 1981 "./verilog.g"
6787 lroot=lcurrent=(struct i_explist *)calloc(1,sizeof(struct i_explist));
6788 lcurrent->item=zzaArg(zztasp1,2 ).prim;
6789 #line 1990 "./verilog.g"
6790 {
6791 zzBLOCK(zztasp2);
6792 zzMake0;
6793 {
6794 if ( (setwd27[LA(1)]&0x40) ) {
6795 #line 1990 "./verilog.g"
6796 {
6797 zzBLOCK(zztasp3);
6798 zzMake0;
6799 {
6800 while ( (LA(1)==V_COMMA) ) {
6801 #line 1985 "./verilog.g"
6802 zzmatch(V_COMMA); zzCONSUME;
6803 #line 1985 "./verilog.g"
6804 v_expression();
6805 #line 1986 "./verilog.g"
6806 lcurrent->next=(struct i_explist *)calloc(1,sizeof(struct i_explist));
6807 lcurrent=lcurrent->next;
6808 lcurrent->item=zzaArg(zztasp3,2 ).prim;
6809 zzLOOP(zztasp3);
6810 }
6811 zzEXIT(zztasp3);
6812 }
6813 }
6814 #line 1991 "./verilog.g"
6815 zzaRet.prim = i_primary_concat_make(NULL,i_explist_make(lroot));
6816 }
6817 else {
6818 if ( (LA(1)==V_LBRACE) ) {
6819 #line 1993 "./verilog.g"
6820 zzmatch(V_LBRACE); zzCONSUME;
6821 #line 1993 "./verilog.g"
6822 v_explist();
6823 #line 1993 "./verilog.g"
6824 zzmatch(V_RBRACE);
6825 #line 1994 "./verilog.g"
6826 zzaRet.prim = i_primary_concat_make(lroot->item,zzaArg(zztasp2,2 ).explist);
6827 free(lroot);
6828 zzCONSUME;
6829
6830 }
6831 else {zzFAIL(1,zzerr92,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6832 }
6833 zzEXIT(zztasp2);
6834 }
6835 }
6836 #line 1998 "./verilog.g"
6837 zzmatch(V_RBRACE); zzCONSUME;
6838 zzEXIT(zztasp1);
6839 return;
6840 fail:
6841 zzEXIT(zztasp1);
6842 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6843 zzresynch(setwd27, 0x80);
6844 }
6845 }
6846
6847 void
6848 #ifdef __USE_PROTOS
6849 v_function_call(void)
6850 #else
6851 v_function_call()
6852 #endif
6853 {
6854 #line 2001 "./verilog.g"
6855 zzRULE;
6856 zzBLOCK(zztasp1);
6857 zzMake0;
6858 {
6859 #line 2001 "./verilog.g"
6860 v_name_of_function();
6861 #line 2001 "./verilog.g"
6862 zzmatch(V_LP); zzCONSUME;
6863 #line 2001 "./verilog.g"
6864 v_explist();
6865 #line 2001 "./verilog.g"
6866 zzmatch(V_RP);
6867 #line 2002 "./verilog.g"
6868 zzaRet.prim=i_primary_funcall_make(zzaArg(zztasp1,1 ).symbol,zzaArg(zztasp1,3 ).explist);
6869 zzCONSUME;
6870
6871 zzEXIT(zztasp1);
6872 return;
6873 fail:
6874 zzEXIT(zztasp1);
6875 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6876 zzresynch(setwd28, 0x1);
6877 }
6878 }
6879
6880 void
6881 #ifdef __USE_PROTOS
6882 v_name_of_function(void)
6883 #else
6884 v_name_of_function()
6885 #endif
6886 {
6887 #line 2005 "./verilog.g"
6888 zzRULE;
6889 zzBLOCK(zztasp1);
6890 zzMake0;
6891 {
6892 #line 2005 "./verilog.g"
6893 v_identifier_nodot();
6894 #line 2005 "./verilog.g"
6895 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
6896 zzEXIT(zztasp1);
6897 return;
6898 fail:
6899 zzEXIT(zztasp1);
6900 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6901 zzresynch(setwd28, 0x2);
6902 }
6903 }
6904
6905 void
6906 #ifdef __USE_PROTOS
6907 v_explist(void)
6908 #else
6909 v_explist()
6910 #endif
6911 {
6912 #line 2008 "./verilog.g"
6913 zzRULE;
6914 zzBLOCK(zztasp1);
6915 zzMake0;
6916 {
6917 #line 2008 "./verilog.g"
6918 struct i_explist *lroot=NULL, *lcurrent=NULL;
6919 #line 2009 "./verilog.g"
6920 v_fn_expression();
6921 #line 2010 "./verilog.g"
6922 lroot=lcurrent=(struct i_explist *)calloc(1,sizeof(struct i_explist));
6923 lcurrent->item=zzaArg(zztasp1,1 ).prim;
6924 #line 2018 "./verilog.g"
6925 {
6926 zzBLOCK(zztasp2);
6927 zzMake0;
6928 {
6929 while ( (LA(1)==V_COMMA)
6930 ) {
6931 #line 2013 "./verilog.g"
6932 zzmatch(V_COMMA); zzCONSUME;
6933 #line 2013 "./verilog.g"
6934 v_fn_expression();
6935 #line 2014 "./verilog.g"
6936 lcurrent->next=(struct i_explist *)calloc(1,sizeof(struct i_explist));
6937 lcurrent=lcurrent->next;
6938 lcurrent->item=zzaArg(zztasp2,2 ).prim;
6939 zzLOOP(zztasp2);
6940 }
6941 zzEXIT(zztasp2);
6942 }
6943 }
6944 #line 2019 "./verilog.g"
6945 zzaRet.explist=i_explist_make(lroot);
6946 zzEXIT(zztasp1);
6947 return;
6948 fail:
6949 zzEXIT(zztasp1);
6950 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6951 zzresynch(setwd28, 0x4);
6952 }
6953 }
6954
6955 void
6956 #ifdef __USE_PROTOS
6957 v_fn_expression(void)
6958 #else
6959 v_fn_expression()
6960 #endif
6961 {
6962 #line 2022 "./verilog.g"
6963 zzRULE;
6964 zzBLOCK(zztasp1);
6965 zzMake0;
6966 {
6967 if ( (setwd28[LA(1)]&0x8) ) {
6968 #line 2023 "./verilog.g"
6969 v_expression();
6970 #line 2023 "./verilog.g"
6971 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
6972 }
6973 else {
6974 if ( (setwd28[LA(1)]&0x10) ) {
6975 #line 2024 "./verilog.g"
6976 zzaRet.prim = NULL;
6977 }
6978 else {zzFAIL(1,zzerr93,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6979 }
6980 zzEXIT(zztasp1);
6981 return;
6982 fail:
6983 zzEXIT(zztasp1);
6984 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6985 zzresynch(setwd28, 0x20);
6986 }
6987 }
6988
6989 void
6990 #ifdef __USE_PROTOS
6991 v_mexplist(void)
6992 #else
6993 v_mexplist()
6994 #endif
6995 {
6996 #line 2028 "./verilog.g"
6997 zzRULE;
6998 zzBLOCK(zztasp1);
6999 zzMake0;
7000 {
7001 #line 2028 "./verilog.g"
7002 struct i_explist *lroot=NULL, *lcurrent=NULL;
7003 #line 2029 "./verilog.g"
7004 v_mfn_expression();
7005 #line 2030 "./verilog.g"
7006 lroot=lcurrent=(struct i_explist *)calloc(1,sizeof(struct i_explist));
7007 lcurrent->item=zzaArg(zztasp1,1 ).prim;
7008 #line 2038 "./verilog.g"
7009 {
7010 zzBLOCK(zztasp2);
7011 zzMake0;
7012 {
7013 while ( (LA(1)==V_COMMA) ) {
7014 #line 2033 "./verilog.g"
7015 zzmatch(V_COMMA); zzCONSUME;
7016 #line 2033 "./verilog.g"
7017 v_mfn_expression();
7018 #line 2034 "./verilog.g"
7019 lcurrent->next=(struct i_explist *)calloc(1,sizeof(struct i_explist));
7020 lcurrent=lcurrent->next;
7021 lcurrent->item=zzaArg(zztasp2,2 ).prim;
7022 zzLOOP(zztasp2);
7023 }
7024 zzEXIT(zztasp2);
7025 }
7026 }
7027 #line 2039 "./verilog.g"
7028 zzaRet.explist=i_explist_make(lroot);
7029 zzEXIT(zztasp1);
7030 return;
7031 fail:
7032 zzEXIT(zztasp1);
7033 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7034 zzresynch(setwd28, 0x40);
7035 }
7036 }
7037
7038 void
7039 #ifdef __USE_PROTOS
7040 v_mfn_expression(void)
7041 #else
7042 v_mfn_expression()
7043 #endif
7044 {
7045 #line 2042 "./verilog.g"
7046 zzRULE;
7047 zzBLOCK(zztasp1);
7048 zzMake0;
7049 {
7050 if ( (LA(1)==V_DOT) ) {
7051 #line 2043 "./verilog.g"
7052 zzmatch(V_DOT); zzCONSUME;
7053 #line 2043 "./verilog.g"
7054 v_identifier_nodot();
7055 #line 2043 "./verilog.g"
7056 zzmatch(V_LP); zzCONSUME;
7057 #line 2043 "./verilog.g"
7058 v_expression();
7059 #line 2043 "./verilog.g"
7060 zzmatch(V_RP);
7061 #line 2044 "./verilog.g"
7062
7063 struct i_primary *ip = i_primary_make(PRIM_NAMEDPARAM,NULL);
7064 ip->primval.named_param.sym = zzaArg(zztasp1,2 ).symbol;
7065 ip->primval.named_param.exp = zzaArg(zztasp1,4 ).prim;
7066
7067 zzaRet.prim = ip;
7068 zzCONSUME;
7069
7070 }
7071 else {
7072 if ( (setwd28[LA(1)]&0x80)
7073 ) {
7074 #line 2051 "./verilog.g"
7075 v_expression();
7076 #line 2051 "./verilog.g"
7077 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
7078 }
7079 else {
7080 if ( (setwd29[LA(1)]&0x1) ) {
7081 #line 2052 "./verilog.g"
7082 zzaRet.prim = NULL;
7083 }
7084 else {zzFAIL(1,zzerr94,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7085 }
7086 }
7087 zzEXIT(zztasp1);
7088 return;
7089 fail:
7090 zzEXIT(zztasp1);
7091 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7092 zzresynch(setwd29, 0x2);
7093 }
7094 }
7095
7096 void
7097 #ifdef __USE_PROTOS
7098 v_identifier(void)
7099 #else
7100 v_identifier()
7101 #endif
7102 {
7103 #line 2059 "./verilog.g"
7104 zzRULE;
7105 zzBLOCK(zztasp1);
7106 zzMake0;
7107 {
7108 if ( (setwd29[LA(1)]&0x4) ) {
7109 #line 2059 "./verilog.g"
7110 v_identifier_nodot();
7111 #line 2059 "./verilog.g"
7112 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
7113 }
7114 else {
7115 if ( (LA(1)==V_IDENDOT) ) {
7116 #line 2060 "./verilog.g"
7117 zzmatch(V_IDENDOT);
7118 #line 2060 "./verilog.g"
7119 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
7120 zzCONSUME;
7121
7122 }
7123 else {zzFAIL(1,zzerr95,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7124 }
7125 zzEXIT(zztasp1);
7126 return;
7127 fail:
7128 zzEXIT(zztasp1);
7129 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7130 zzresynch(setwd29, 0x8);
7131 }
7132 }
7133
7134 void
7135 #ifdef __USE_PROTOS
7136 v_identifier_nodot(void)
7137 #else
7138 v_identifier_nodot()
7139 #endif
7140 {
7141 #line 2063 "./verilog.g"
7142 zzRULE;
7143 zzBLOCK(zztasp1);
7144 zzMake0;
7145 {
7146 if ( (LA(1)==V_IDENTIFIER) ) {
7147 #line 2064 "./verilog.g"
7148 zzmatch(V_IDENTIFIER);
7149 #line 2064 "./verilog.g"
7150 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
7151 zzCONSUME;
7152
7153 }
7154 else {
7155 if ( (LA(1)==V_IDENTIFIER2)
7156 ) {
7157 #line 2065 "./verilog.g"
7158 zzmatch(V_IDENTIFIER2);
7159 #line 2065 "./verilog.g"
7160 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
7161 zzCONSUME;
7162
7163 }
7164 else {
7165 if ( (LA(1)==V_FUNCTION_NAME) ) {
7166 #line 2066 "./verilog.g"
7167 zzmatch(V_FUNCTION_NAME);
7168 #line 2066 "./verilog.g"
7169 zzaRet.symbol = zzaArg(zztasp1,1 ).symbol;
7170 zzCONSUME;
7171
7172 }
7173 else {zzFAIL(1,zzerr96,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7174 }
7175 }
7176 zzEXIT(zztasp1);
7177 return;
7178 fail:
7179 zzEXIT(zztasp1);
7180 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7181 zzresynch(setwd29, 0x10);
7182 }
7183 }
7184
7185 void
7186 #ifdef __USE_PROTOS
7187 v_delay(void)
7188 #else
7189 v_delay()
7190 #endif
7191 {
7192 #line 2069 "./verilog.g"
7193 zzRULE;
7194 zzBLOCK(zztasp1);
7195 zzMake0;
7196 {
7197 #line 2069 "./verilog.g"
7198 zzmatch(V_POUND); zzCONSUME;
7199 #line 2069 "./verilog.g"
7200 {
7201 zzBLOCK(zztasp2);
7202 zzMake0;
7203 {
7204 if ( (setwd29[LA(1)]&0x20) ) {
7205 #line 2069 "./verilog.g"
7206 v_number();
7207 }
7208 else {
7209 if ( (setwd29[LA(1)]&0x40) ) {
7210 #line 2070 "./verilog.g"
7211 v_identifier();
7212 }
7213 else {
7214 if ( (LA(1)==V_LP) ) {
7215 #line 2071 "./verilog.g"
7216 zzmatch(V_LP); zzCONSUME;
7217 #line 2071 "./verilog.g"
7218 v_mintypmax_expression();
7219 #line 2072 "./verilog.g"
7220 {
7221 zzBLOCK(zztasp3);
7222 zzMake0;
7223 {
7224 while ( (LA(1)==V_COMMA)
7225 ) {
7226 #line 2072 "./verilog.g"
7227 zzmatch(V_COMMA); zzCONSUME;
7228 #line 2072 "./verilog.g"
7229 v_mintypmax_expression();
7230 zzLOOP(zztasp3);
7231 }
7232 zzEXIT(zztasp3);
7233 }
7234 }
7235 #line 2072 "./verilog.g"
7236 zzmatch(V_RP); zzCONSUME;
7237 }
7238 else {zzFAIL(1,zzerr97,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7239 }
7240 }
7241 zzEXIT(zztasp2);
7242 }
7243 }
7244 zzEXIT(zztasp1);
7245 return;
7246 fail:
7247 zzEXIT(zztasp1);
7248 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7249 zzresynch(setwd29, 0x80);
7250 }
7251 }
7252
7253 void
7254 #ifdef __USE_PROTOS
7255 v_delay_control(void)
7256 #else
7257 v_delay_control()
7258 #endif
7259 {
7260 #line 2075 "./verilog.g"
7261 zzRULE;
7262 zzBLOCK(zztasp1);
7263 zzMake0;
7264 {
7265 #line 2075 "./verilog.g"
7266 zzmatch(V_POUND); zzCONSUME;
7267 #line 2075 "./verilog.g"
7268 {
7269 zzBLOCK(zztasp2);
7270 zzMake0;
7271 {
7272 if ( (setwd30[LA(1)]&0x1) ) {
7273 #line 2075 "./verilog.g"
7274 v_number();
7275 }
7276 else {
7277 if ( (setwd30[LA(1)]&0x2) ) {
7278 #line 2076 "./verilog.g"
7279 v_identifier();
7280 }
7281 else {
7282 if ( (LA(1)==V_LP) ) {
7283 #line 2077 "./verilog.g"
7284 zzmatch(V_LP); zzCONSUME;
7285 #line 2077 "./verilog.g"
7286 v_mintypmax_expression();
7287 #line 2077 "./verilog.g"
7288 zzmatch(V_RP); zzCONSUME;
7289 }
7290 else {zzFAIL(1,zzerr98,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7291 }
7292 }
7293 zzEXIT(zztasp2);
7294 }
7295 }
7296 zzEXIT(zztasp1);
7297 return;
7298 fail:
7299 zzEXIT(zztasp1);
7300 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7301 zzresynch(setwd30, 0x4);
7302 }
7303 }
7304
7305 void
7306 #ifdef __USE_PROTOS
7307 v_event_control(void)
7308 #else
7309 v_event_control()
7310 #endif
7311 {
7312 #line 2080 "./verilog.g"
7313 zzRULE;
7314 zzBLOCK(zztasp1);
7315 zzMake0;
7316 {
7317 #line 2080 "./verilog.g"
7318 zzmatch(V_AT); zzCONSUME;
7319 #line 2080 "./verilog.g"
7320 {
7321 zzBLOCK(zztasp2);
7322 zzMake0;
7323 {
7324 if ( (setwd30[LA(1)]&0x8) ) {
7325 #line 2080 "./verilog.g"
7326 v_identifier();
7327 }
7328 else {
7329 if ( (LA(1)==V_LP)
7330 ) {
7331 #line 2081 "./verilog.g"
7332 zzmatch(V_LP); zzCONSUME;
7333 #line 2081 "./verilog.g"
7334 v_event_expression();
7335 #line 2081 "./verilog.g"
7336 zzmatch(V_RP); zzCONSUME;
7337 }
7338 else {zzFAIL(1,zzerr99,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7339 }
7340 zzEXIT(zztasp2);
7341 }
7342 }
7343 zzEXIT(zztasp1);
7344 return;
7345 fail:
7346 zzEXIT(zztasp1);
7347 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7348 zzresynch(setwd30, 0x10);
7349 }
7350 }
7351
7352 void
7353 #ifdef __USE_PROTOS
7354 v_event_expression(void)
7355 #else
7356 v_event_expression()
7357 #endif
7358 {
7359 #line 2084 "./verilog.g"
7360 zzRULE;
7361 zzBLOCK(zztasp1);
7362 zzMake0;
7363 {
7364 #line 2085 "./verilog.g"
7365 v_event_expression2();
7366 #line 2085 "./verilog.g"
7367 {
7368 zzBLOCK(zztasp2);
7369 zzMake0;
7370 {
7371 while ( (LA(1)==V_ORLIT) ) {
7372 #line 2085 "./verilog.g"
7373 zzmatch(V_ORLIT); zzCONSUME;
7374 #line 2085 "./verilog.g"
7375 v_event_expression2();
7376 zzLOOP(zztasp2);
7377 }
7378 zzEXIT(zztasp2);
7379 }
7380 }
7381 zzEXIT(zztasp1);
7382 return;
7383 fail:
7384 zzEXIT(zztasp1);
7385 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7386 zzresynch(setwd30, 0x20);
7387 }
7388 }
7389
7390 void
7391 #ifdef __USE_PROTOS
7392 v_event_expression2(void)
7393 #else
7394 v_event_expression2()
7395 #endif
7396 {
7397 #line 2088 "./verilog.g"
7398 zzRULE;
7399 zzBLOCK(zztasp1);
7400 zzMake0;
7401 {
7402 #line 2088 "./verilog.g"
7403 {
7404 zzBLOCK(zztasp2);
7405 zzMake0;
7406 {
7407 if ( (setwd30[LA(1)]&0x40) ) {
7408 #line 2088 "./verilog.g"
7409 v_expression();
7410 }
7411 else {
7412 if ( (LA(1)==V_POSEDGE) ) {
7413 #line 2089 "./verilog.g"
7414 zzmatch(V_POSEDGE); zzCONSUME;
7415 #line 2089 "./verilog.g"
7416 v_expression();
7417 }
7418 else {
7419 if ( (LA(1)==V_NEGEDGE) ) {
7420 #line 2090 "./verilog.g"
7421 zzmatch(V_NEGEDGE); zzCONSUME;
7422 #line 2090 "./verilog.g"
7423 v_expression();
7424 }
7425 else {zzFAIL(1,zzerr100,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7426 }
7427 }
7428 zzEXIT(zztasp2);
7429 }
7430 }
7431 zzEXIT(zztasp1);
7432 return;
7433 fail:
7434 zzEXIT(zztasp1);
7435 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7436 zzresynch(setwd30, 0x80);
7437 }
7438 }
0 gtkwave (3.2.3-1) unstable; urgency=low
1
2 * New upstream release (Closes: #553509)
3 * debian/compat: Bumped to 7
4 * debian/control:
5 + Bump Standards-Version to 3.8.3, no changes needed.
6 + Build-Depend on debhelper (>= 7.0.50~) to support target overrides.
7 + Added myself to Uploaders.
8 * debian/rules: Simplified rules file
9 * Added debian/gtkwave.manpages, debian/gtkwave.docs
10 * Removed debian/gtkwave.dirs
11 * debian/copyright: refer to proper GPL-2 license file.
12
13 -- أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy@users.sourceforge.net> Sun, 01 Nov 2009 08:01:51 +0200
14
015 gtkwave (3.2.0-1) unstable; urgency=low
116
217 * New upstream release.
11 Section: electronics
22 Priority: optional
33 Maintainer: Debian Electronics Team <pkg-electronics-devel@lists.alioth.debian.org>
4 Uploaders: Hamish Moffatt <hamish@debian.org>, Wesley J. Landaker <wjl@icecavern.net>
5 Standards-Version: 3.8.0.0
6 Build-Depends: debhelper (>= 4), libgtk2.0-dev, zlib1g-dev, libbz2-dev, flex, gperf, tcl-dev
4 Uploaders: Hamish Moffatt <hamish@debian.org>, Wesley J. Landaker <wjl@icecavern.net>, أحمد المحمودي (Ahmed El-Mahmoudy) <aelmahmoudy@users.sourceforge.net>
5 Standards-Version: 3.8.3
6 Build-Depends: debhelper (>= 7.0.50~), libgtk2.0-dev, zlib1g-dev, libbz2-dev, flex, gperf, tcl-dev
77 Homepage: http://gtkwave.sourceforge.net/
88
99 Package: gtkwave
1010 Architecture: any
11 Depends: ${shlibs:Depends}
11 Depends: ${shlibs:Depends}, ${misc:Depends}
1212 Description: a VCD (Value Change Dump) file waveform viewer
1313 gtkwave is a viewer for VCD (Value Change Dump) files which
1414 are usually created by digital circuit simulators. (These files
2626 You should have received a copy of the GNU General Public License
2727 along with this program. If not, see <http://www.gnu.org/licenses/>.
2828
29 The full text of the GPL is in /usr/share/common-licenses/GPL.
29 The full text of the GPL is in /usr/share/common-licenses/GPL-2.
3030
3131 =======================================================================
3232
+0
-1
debian/gtkwave.dirs less more
0 usr/share/doc/gtkwave/examples
0 SYSTEMVERILOG_README.TXT
1 ANALOG_README.TXT
0 man/*.1
1 man/*.5
11
22 DESTDIR=$(CURDIR)/debian/gtkwave
33
4 build: build-stamp
5 build-stamp:
6 dh_testdir
7
8 CPPFLAGS="$(CPPFLAGS) -D_LARGEFILE64_SOURCE" ./configure --prefix=/usr --mandir=/usr/share/man
9 -mkdir bin
4 %:
5 dh $@
6
7 override_dh_auto_configure:
8 dh_auto_configure -- CPPFLAGS="$(CPPFLAGS) -D_LARGEFILE64_SOURCE"
9
10 override_dh_auto_make:
1011 $(MAKE)
1112
12 touch build-stamp
13 override_dh_installdocs:
14 dh_installdocs
15 mv $(DESTDIR)/usr/share/gtkwave/*.odt $(DESTDIR)/usr/share/doc/gtkwave
1316
14 clean:
15 dh_testdir
16 rm -f build-stamp install-stamp
17
18 # Add here commands to clean up after the build process.
19 $(MAKE) distclean || true
20 rm -f *.tar.gz
21 rm -rf gtkwave-3.x/
22 rmdir bin || true
23
24 dh_clean
25
26 install: install-stamp
27 install-stamp: build-stamp
28 dh_testdir
29 dh_clean -k
30 dh_installdirs
31
32 # Add here commands to install the package into debian/gtkwave.
33 $(MAKE) install DESTDIR=$(DESTDIR)
34 mv $(DESTDIR)/usr/share/gtkwave/*.odt $(DESTDIR)/usr/share/doc/gtkwave
35 mv $(DESTDIR)/usr/share/gtkwave/examples/* $(DESTDIR)/usr/share/doc/gtkwave/examples
36 rmdir $(DESTDIR)/usr/share/gtkwave/examples
17 override_dh_installexamples:
18 mv $(DESTDIR)/usr/share/gtkwave/examples $(DESTDIR)/usr/share/doc/gtkwave/
3719 rmdir $(DESTDIR)/usr/share/gtkwave
3820
39 touch install-stamp
40
41 # Build architecture-independent files here.
42 binary-indep: build install
43 # We have nothing to do by default.
44
45 # Build architecture-dependent files here.
46 binary-arch: build install
47 # dh_testversion
48 dh_testdir
49 dh_testroot
50 dh_installdocs
51 dh_installman man/*.1 man/*.5
52 dh_installchangelogs
53 dh_strip
21 override_dh_compress:
5422 dh_compress -Xexamples -Xodt
55 dh_fixperms
56 dh_installdeb
57 dh_shlibdeps
58 dh_gencontrol
59 dh_md5sums
60 dh_builddeb
61
62 binary: binary-indep binary-arch
63 .PHONY: build clean binary-indep binary-arch binary