Codebase list gtkwave / 8dc699e
New upstream version 3.3.91 Jonathan McDowell 5 years ago
98 changed file(s) with 4493 addition(s) and 2397 deletion(s). Raw diff Collapse all Expand all
0 GTKWave Wave Viewer is Copyright (C) 1999-2016 Tony Bybell.
1 Windows compatibility and PS/MIF routines are Copyright (C) 1999-2016 Udi Finkelstein.
2 Context support is Copyright (C) 2007-2016 Kermin Elliott Fleming.
3 Trace group support is Copyright (C) 2009-2016 Donald Baltus.
4 GHW and additional GUI support is Copyright (C) 2005-2016 Tristan Gingold.
5 Analog support is Copyright (C) 2005-2016 Thomas Sailer.
6 External DnD support is Copyright (C) 2008-2016 Concept Engineering GmbH.
7 FastLZ is Copyright (C) 2005-2016 Ariya Hidayat.
8 PCCTS 1.33MR is Copyright (C) 1989-2016 Terence Parr, Russell Quong, Will Cohen, Hank Dietz, and Thomas Moog.
0 GTKWave Wave Viewer is Copyright (C) 1999-2018 Tony Bybell.
1 Windows compatibility and PS/MIF routines are Copyright (C) 1999-2018 Udi Finkelstein.
2 Context support is Copyright (C) 2007-2018 Kermin Elliott Fleming.
3 Trace group support is Copyright (C) 2009-2018 Donald Baltus.
4 GHW and additional GUI support is Copyright (C) 2005-2018 Tristan Gingold.
5 Analog support is Copyright (C) 2005-2018 Thomas Sailer.
6 External DnD support is Copyright (C) 2008-2018 Concept Engineering GmbH.
7 FastLZ is Copyright (C) 2005-2018 Ariya Hidayat.
8 PCCTS 1.33MR is Copyright (C) 1989-2018 Terence Parr, Russell Quong, Will Cohen, Hank Dietz, and Thomas Moog.
99 Some public domain clip art by contributors at http://www.sxc.hu/ website.
1010 Hierarchy marker icons from the Silk icons set by Mark James found at the http://www.famfamfam.com/lab/icons/silk/ website.
1111 Portions of the TCL implementation, tcl_np.c and tcl_np.h are copyrighted by the Regents of the University of California, Sun Microsystems, Inc., Scriptics Corporation, ActiveState Corporation, and other parties.
12 NSAlert is Copyright (C) 2011-2016 Philipp Mayerhofer.
13 LZ4 is Copyright (C) 2011-2016 Yann Collet.
12 NSAlert is Copyright (C) 2011-2018 Philipp Mayerhofer.
13 LZ4 is Copyright (C) 2011-2018 Yann Collet.
16211621 Made entrybox taller (using -1) as recent versions of
16221622 gnome have taller window titlebars and the widget was not
16231623 tall enough.
1624 3.3.87 28dec17 Added missing prototype for ghw_read_sm_hdr in ghwlib.h.
1625 Made intptr_t changes vs long during casting for win64.
1626 Warnings fixes.
1627 Re-enable twinwave for Win32/64.
1628 Added missing gtkwave_bin_launcher.sh in contrib/bundle_for_osx
1629 Makefile.am.
1630 3.3.88 20feb18 Added --sstexclude command line option to prune unwanted
1631 clutter from the SST window.
1632 Updated "/View/Mouseover Copies To Clipboard" menu option to
1633 copying signal names into the clipboard so they can be pasted
1634 into text editors, etc.
1635 Fixed Write Save File to handle getting confused by initial
1636 cancel then retry.
1637 Updated v2k input/output declarations to handle unpacked arrays.
1638 Fix for pattern marks that could overshoot the left marker.
1639 3.3.89 17mar18 Added support for 32-bit conversions in BitsToReal.
1640 Crash fix for pattern search with reals using LXT, LXT2, VZT.
1641 3.3.90 08may18 For Cut Traces, fix up scroll position if there are traces
1642 above the current row being cut.
1643 Bits to real crash fix for very large floats.
1644 Fixed gray code conversions that were incomplete for right
1645 justified vectors such that the vector length is not a
1646 multiple of the radix size (4 for hex, 3 for oct).
1647 Warray-bounds warning fix for 32-bit conversions in BitsToReal.
1648 3.3.91 29may18 Added support for GSettings for when GConf is removed from
1649 distributions such as Debian and Ubuntu.
1650 Performance fix for large number of groups (remove useless
1651 recursion required for transaction traces).
00 ##########################################################################
11
2 GTKWave 3.3.86 Wave Viewer is Copyright (C) 1999-2017 Tony Bybell.
3 Portions of GTKWave are Copyright (C) 1999-2017 Udi Finkelstein.
4 Context support is Copyright (C) 2007-2017 Kermin Elliott Fleming.
5 Trace group support is Copyright (C) 2009-2017 Donald Baltus.
6 GHW and additional GUI support is Copyright (C) 2005-2017 Tristan Gingold.
7 Analog support is Copyright (C) 2005-2017 Thomas Sailer.
8 External DnD support is Copyright (C) 2008-2017 Concept Engineering GmbH.
9 FastLZ is Copyright (C) 2005-2017 Ariya Hidayat.
10 LZ4 is Copyright (C) 2011-2017 Yann Collet.
2 GTKWave 3.3.91 Wave Viewer is Copyright (C) 1999-2018 Tony Bybell.
3 Portions of GTKWave are Copyright (C) 1999-2018 Udi Finkelstein.
4 Context support is Copyright (C) 2007-2018 Kermin Elliott Fleming.
5 Trace group support is Copyright (C) 2009-2018 Donald Baltus.
6 GHW and additional GUI support is Copyright (C) 2005-2018 Tristan Gingold.
7 Analog support is Copyright (C) 2005-2018 Thomas Sailer.
8 External DnD support is Copyright (C) 2008-2018 Concept Engineering GmbH.
9 FastLZ is Copyright (C) 2005-2018 Ariya Hidayat.
10 LZ4 is Copyright (C) 2011-2018 Yann Collet.
1111
1212 This program is free software; you can redistribute it and/or modify it
1313 under the terms of the GNU General Public License as published by the Free
2525
2626 ##########################################################################
2727
28 PCCTS 1.33MR is Copyright (C) 1989-2017 Terence Parr, Russell Quong,
28 PCCTS 1.33MR is Copyright (C) 1989-2018 Terence Parr, Russell Quong,
2929 Will Cohen, Hank Dietz, and Thomas Moog. It is in the public
3030 domain. Please read contrib/pccts/RIGHTS for more information.
3131
230230 GCONF_LIBS = @GCONF_LIBS@
231231 GEDITTEST = @GEDITTEST@
232232 GEDIT_CFLAGS = @GEDIT_CFLAGS@
233 GIO_CFLAGS = @GIO_CFLAGS@
234 GIO_LIBS = @GIO_LIBS@
235 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
233236 GPERF = @GPERF@
234237 GREP = @GREP@
238 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
239 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
235240 GTK_CFLAGS = @GTK_CFLAGS@
236241 GTK_CONFIG = @GTK_CONFIG@
237242 GTK_LIBS = @GTK_LIBS@
279284 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
280285 POW_LIB = @POW_LIB@
281286 RANLIB = @RANLIB@
287 RPC_CFLAGS = @RPC_CFLAGS@
288 RPC_LDADD = @RPC_LDADD@
282289 SET_MAKE = @SET_MAKE@
283290 SHELL = @SHELL@
284291 STRIP = @STRIP@
289296 TCL_LIB_SPEC = @TCL_LIB_SPEC@
290297 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
291298 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
299 TIRPC_CFLAGS = @TIRPC_CFLAGS@
300 TIRPC_LIBS = @TIRPC_LIBS@
292301 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
293302 TK_LDADD = @TK_LDADD@
294303 TK_LIB_SPEC = @TK_LIB_SPEC@
315324 docdir = @docdir@
316325 dvidir = @dvidir@
317326 exec_prefix = @exec_prefix@
327 gsettingsschemadir = @gsettingsschemadir@
318328 host_alias = @host_alias@
319329 htmldir = @htmldir@
320330 includedir = @includedir@
165165 -311211ajb bybell@nc.rr.com
166166
167167 ===============================================================================
168
169 MSYS2 notes for creating a working environment for compiling gtkwave:
170
171 pacman -Syuu
172 [repeat "pacman -Syuu" multiple times until environment stabilizes]
173 pacman -S --needed base-devel mingw-w64-i686-toolchain mingw-w64-x86_64-toolchain git subversion mercurial mingw-w64-i686-cmake mingw-w64-x86_64-cmake
174 pacman -S base-devel mingw-w64-toolchain mingw-w64-i686-gtk2
175 pacman -S base-devel mingw-w64-toolchain mingw-w64-i686-gtk2
176 pacman -S mingw-w64-i686-gtk2
177 pacman -S mingw-w64-x86_64-gtk2
178 pacman -S mingw-w64-i686-gtk3
179 pacman -S mingw-w64-x86_64-gtk3
180
181 -121217ajb bybell@rocketmail.com
182
183 ===============================================================================
1818 You have another version of autoconf. It may work, but is not guaranteed to.
1919 If you have problems, you may need to regenerate the build system entirely.
2020 To do so, use the procedure documented by the package, typically 'autoreconf'.])])
21
22 dnl GLIB_GSETTINGS
23 dnl Defines GSETTINGS_SCHEMAS_INSTALL which controls whether
24 dnl the schema should be compiled
25 dnl
26
27 AC_DEFUN([GLIB_GSETTINGS],
28 [
29 m4_pattern_allow([AM_V_GEN])
30 AC_ARG_ENABLE(schemas-compile,
31 AS_HELP_STRING([--disable-schemas-compile],
32 [Disable regeneration of gschemas.compiled on install]),
33 [case ${enableval} in
34 yes) GSETTINGS_DISABLE_SCHEMAS_COMPILE="" ;;
35 no) GSETTINGS_DISABLE_SCHEMAS_COMPILE="1" ;;
36 *) AC_MSG_ERROR([bad value ${enableval} for --enable-schemas-compile]) ;;
37 esac])
38 AC_SUBST([GSETTINGS_DISABLE_SCHEMAS_COMPILE])
39 PKG_PROG_PKG_CONFIG([0.16])
40 AC_SUBST(gsettingsschemadir, [${datadir}/glib-2.0/schemas])
41 if test x$cross_compiling != xyes; then
42 GLIB_COMPILE_SCHEMAS=`$PKG_CONFIG --variable glib_compile_schemas gio-2.0`
43 else
44 AC_PATH_PROG(GLIB_COMPILE_SCHEMAS, glib-compile-schemas)
45 fi
46 AC_SUBST(GLIB_COMPILE_SCHEMAS)
47 if test "x$GLIB_COMPILE_SCHEMAS" = "x"; then
48 ifelse([$2],,[AC_MSG_ERROR([glib-compile-schemas not found.])],[$2])
49 else
50 ifelse([$1],,[:],[$1])
51 fi
52
53 GSETTINGS_RULES='
54 .PHONY : uninstall-gsettings-schemas install-gsettings-schemas clean-gsettings-schemas
55
56 mostlyclean-am: clean-gsettings-schemas
57
58 gsettings__enum_file = $(addsuffix .enums.xml,$(gsettings_ENUM_NAMESPACE))
59
60 %.gschema.valid: %.gschema.xml $(gsettings__enum_file)
61 $(AM_V_GEN) $(GLIB_COMPILE_SCHEMAS) --strict --dry-run $(addprefix --schema-file=,$(gsettings__enum_file)) --schema-file=$< && mkdir -p [$](@D) && touch [$]@
62
63 all-am: $(gsettings_SCHEMAS:.xml=.valid)
64 uninstall-am: uninstall-gsettings-schemas
65 install-data-am: install-gsettings-schemas
66
67 .SECONDARY: $(gsettings_SCHEMAS)
68
69 install-gsettings-schemas: $(gsettings_SCHEMAS) $(gsettings__enum_file)
70 @$(NORMAL_INSTALL)
71 if test -n "$^"; then \
72 test -z "$(gsettingsschemadir)" || $(MKDIR_P) "$(DESTDIR)$(gsettingsschemadir)"; \
73 $(INSTALL_DATA) $^ "$(DESTDIR)$(gsettingsschemadir)"; \
74 test -n "$(GSETTINGS_DISABLE_SCHEMAS_COMPILE)$(DESTDIR)" || $(GLIB_COMPILE_SCHEMAS) $(gsettingsschemadir); \
75 fi
76
77 uninstall-gsettings-schemas:
78 @$(NORMAL_UNINSTALL)
79 @list='\''$(gsettings_SCHEMAS) $(gsettings__enum_file)'\''; test -n "$(gsettingsschemadir)" || list=; \
80 files=`for p in $$list; do echo $$p; done | sed -e '\''s|^.*/||'\''`; \
81 test -n "$$files" || exit 0; \
82 echo " ( cd '\''$(DESTDIR)$(gsettingsschemadir)'\'' && rm -f" $$files ")"; \
83 cd "$(DESTDIR)$(gsettingsschemadir)" && rm -f $$files
84 test -n "$(GSETTINGS_DISABLE_SCHEMAS_COMPILE)$(DESTDIR)" || $(GLIB_COMPILE_SCHEMAS) $(gsettingsschemadir)
85
86 clean-gsettings-schemas:
87 rm -f $(gsettings_SCHEMAS:.xml=.valid) $(gsettings__enum_file)
88
89 ifdef gsettings_ENUM_NAMESPACE
90 $(gsettings__enum_file): $(gsettings_ENUM_FILES)
91 $(AM_V_GEN) glib-mkenums --comments '\''<!-- @comment@ -->'\'' --fhead "<schemalist>" --vhead " <@type@ id='\''$(gsettings_ENUM_NAMESPACE).@EnumName@'\''>" --vprod " <value nick='\''@valuenick@'\'' value='\''@valuenum@'\''/>" --vtail " </@type@>" --ftail "</schemalist>" [$]^ > [$]@.tmp && mv [$]@.tmp [$]@
92 endif
93 '
94 _GSETTINGS_SUBST(GSETTINGS_RULES)
95 ])
96
97 dnl _GSETTINGS_SUBST(VARIABLE)
98 dnl Abstract macro to do either _AM_SUBST_NOTMAKE or AC_SUBST
99 AC_DEFUN([_GSETTINGS_SUBST],
100 [
101 AC_SUBST([$1])
102 m4_ifdef([_AM_SUBST_NOTMAKE], [_AM_SUBST_NOTMAKE([$1])])
103 ]
104 )
21105
22106 # pkg.m4 - Macros to locate and utilise pkg-config. -*- Autoconf -*-
23107 # serial 1 (pkg-config-0.24)
00 #! /bin/sh
11 # Guess values for system-dependent variables and create Makefiles.
2 # Generated by GNU Autoconf 2.69 for gtkwave 3.3.86.
2 # Generated by GNU Autoconf 2.69 for gtkwave 3.3.91.
33 #
44 # Report bugs to <bybell@rocketmail.com>.
55 #
579579 # Identity of this package.
580580 PACKAGE_NAME='gtkwave'
581581 PACKAGE_TARNAME='gtkwave'
582 PACKAGE_VERSION='3.3.86'
583 PACKAGE_STRING='gtkwave 3.3.86'
582 PACKAGE_VERSION='3.3.91'
583 PACKAGE_STRING='gtkwave 3.3.91'
584584 PACKAGE_BUGREPORT='bybell@rocketmail.com'
585585 PACKAGE_URL=''
586586
631631 MINGW_LDADD
632632 POW_LIB
633633 LIBOBJS
634 RPC_LDADD
635 RPC_CFLAGS
636 TIRPC_LIBS
637 TIRPC_CFLAGS
634638 ALLOCA
635639 EGREP
636640 GREP
637641 CPP
638642 GTK_UNIX_PRINT_LIBS
639643 GTK_UNIX_PRINT_CFLAGS
644 GSETTINGS_CFLAGS
645 GSETTINGS_RULES
646 GLIB_COMPILE_SCHEMAS
647 gsettingsschemadir
648 GSETTINGS_DISABLE_SCHEMAS_COMPILE
649 GIO_LIBS
650 GIO_CFLAGS
640651 GCONF_LIBS
641652 GCONF_CFLAGS
642653 COCOA_GTK_LDFLAGS
803814 enable_silent_rules
804815 enable_maintainer_mode
805816 with_gconf
817 with_gsettings
818 with_tirpc
806819 with_xdgdatadir
807820 enable_mime_update
808821 enable_struct_pack
821834 enable_xz
822835 enable_fasttree
823836 enable_judy
837 enable_schemas_compile
824838 enable_largefile
825839 '
826840 ac_precious_vars='build_alias
843857 GTK_MAC_LIBS
844858 GCONF_CFLAGS
845859 GCONF_LIBS
860 GIO_CFLAGS
861 GIO_LIBS
846862 GTK_UNIX_PRINT_CFLAGS
847863 GTK_UNIX_PRINT_LIBS
848 CPP'
864 CPP
865 TIRPC_CFLAGS
866 TIRPC_LIBS'
849867
850868
851869 # Initialize some variables set by options.
13861404 # Omit some internal or obsolete options to make the list less imposing.
13871405 # This message is too long to be a string in the A/UX 3.1 sh.
13881406 cat <<_ACEOF
1389 \`configure' configures gtkwave 3.3.86 to adapt to many kinds of systems.
1407 \`configure' configures gtkwave 3.3.91 to adapt to many kinds of systems.
13901408
13911409 Usage: $0 [OPTION]... [VAR=VALUE]...
13921410
14521470
14531471 if test -n "$ac_init_help"; then
14541472 case $ac_init_help in
1455 short | recursive ) echo "Configuration of gtkwave 3.3.86:";;
1473 short | recursive ) echo "Configuration of gtkwave 3.3.91:";;
14561474 esac
14571475 cat <<\_ACEOF
14581476
14951513 --disable-xz Disables LZMA support for VZT
14961514 --disable-fasttree Disables experimental Fast SST Tree widget code
14971515 --enable-judy Enables Judy array support
1516 --disable-schemas-compile
1517 Disable regeneration of gschemas.compiled on install
14981518 --disable-largefile omit support for large files
14991519
15001520 Optional Packages:
15011521 --with-PACKAGE[=ARG] use PACKAGE [ARG=yes]
15021522 --without-PACKAGE do not use PACKAGE (same as --with-PACKAGE=no)
15031523 --with-gconf Use GConf to store preferences
1524 --with-gsettings Use GSettings to store preferences (precludes GConf)
1525 --with-tirpc Use TI-RPC as RPC implementation (instead of SunRPC)
15041526 --with-xdgdatadir=path Change where the theme icons and mime registrations are installed [DATADIR]
15051527 --with-tcl directory containing tcl configuration
15061528 (tclConfig.sh)
15301552 GCONF_CFLAGS
15311553 C compiler flags for GCONF, overriding pkg-config
15321554 GCONF_LIBS linker flags for GCONF, overriding pkg-config
1555 GIO_CFLAGS C compiler flags for GIO, overriding pkg-config
1556 GIO_LIBS linker flags for GIO, overriding pkg-config
15331557 GTK_UNIX_PRINT_CFLAGS
15341558 C compiler flags for GTK_UNIX_PRINT, overriding pkg-config
15351559 GTK_UNIX_PRINT_LIBS
15361560 linker flags for GTK_UNIX_PRINT, overriding pkg-config
15371561 CPP C preprocessor
1562 TIRPC_CFLAGS
1563 C compiler flags for TIRPC, overriding pkg-config
1564 TIRPC_LIBS linker flags for TIRPC, overriding pkg-config
15381565
15391566 Use these variables to override the choices made by `configure' or to help
15401567 it to find libraries and programs with nonstandard names/locations.
16021629 test -n "$ac_init_help" && exit $ac_status
16031630 if $ac_init_version; then
16041631 cat <<\_ACEOF
1605 gtkwave configure 3.3.86
1632 gtkwave configure 3.3.91
16061633 generated by GNU Autoconf 2.69
16071634
16081635 Copyright (C) 2012 Free Software Foundation, Inc.
22462273 This file contains any messages produced by compilers while
22472274 running configure, to aid debugging if configure makes a mistake.
22482275
2249 It was created by gtkwave $as_me 3.3.86, which was
2276 It was created by gtkwave $as_me 3.3.91, which was
22502277 generated by GNU Autoconf 2.69. Invocation command line was
22512278
22522279 $ $0 $@
31133140
31143141 # Define the identity of the package.
31153142 PACKAGE='gtkwave'
3116 VERSION='3.3.86'
3143 VERSION='3.3.91'
31173144
31183145
31193146 cat >>confdefs.h <<_ACEOF
31983225 withval=$with_gconf;
31993226 else
32003227 with_gconf=check
3228 fi
3229
3230
3231 # ------------- GSETTINGS -------------------
3232
3233
3234 # Check whether --with-gsettings was given.
3235 if test "${with_gsettings+set}" = set; then :
3236 withval=$with_gsettings;
3237 else
3238 with_gsettings=check
3239 fi
3240
3241
3242
3243 # ------------ TI-RPC ------------------
3244
3245
3246 # Check whether --with-tirpc was given.
3247 if test "${with_tirpc+set}" = set; then :
3248 withval=$with_tirpc;
32013249 fi
32023250
32033251
75347582
75357583 fi
75367584
7585 if test x$with_gsettings = xyes; then
7586
7587 pkg_failed=no
7588 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GIO" >&5
7589 $as_echo_n "checking for GIO... " >&6; }
7590
7591 if test -n "$GIO_CFLAGS"; then
7592 pkg_cv_GIO_CFLAGS="$GIO_CFLAGS"
7593 elif test -n "$PKG_CONFIG"; then
7594 if test -n "$PKG_CONFIG" && \
7595 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gio-2.0 >= 2.0\""; } >&5
7596 ($PKG_CONFIG --exists --print-errors "gio-2.0 >= 2.0") 2>&5
7597 ac_status=$?
7598 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
7599 test $ac_status = 0; }; then
7600 pkg_cv_GIO_CFLAGS=`$PKG_CONFIG --cflags "gio-2.0 >= 2.0" 2>/dev/null`
7601 test "x$?" != "x0" && pkg_failed=yes
7602 else
7603 pkg_failed=yes
7604 fi
7605 else
7606 pkg_failed=untried
7607 fi
7608 if test -n "$GIO_LIBS"; then
7609 pkg_cv_GIO_LIBS="$GIO_LIBS"
7610 elif test -n "$PKG_CONFIG"; then
7611 if test -n "$PKG_CONFIG" && \
7612 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"gio-2.0 >= 2.0\""; } >&5
7613 ($PKG_CONFIG --exists --print-errors "gio-2.0 >= 2.0") 2>&5
7614 ac_status=$?
7615 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
7616 test $ac_status = 0; }; then
7617 pkg_cv_GIO_LIBS=`$PKG_CONFIG --libs "gio-2.0 >= 2.0" 2>/dev/null`
7618 test "x$?" != "x0" && pkg_failed=yes
7619 else
7620 pkg_failed=yes
7621 fi
7622 else
7623 pkg_failed=untried
7624 fi
7625
7626
7627
7628 if test $pkg_failed = yes; then
7629 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
7630 $as_echo "no" >&6; }
7631
7632 if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
7633 _pkg_short_errors_supported=yes
7634 else
7635 _pkg_short_errors_supported=no
7636 fi
7637 if test $_pkg_short_errors_supported = yes; then
7638 GIO_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "gio-2.0 >= 2.0" 2>&1`
7639 else
7640 GIO_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "gio-2.0 >= 2.0" 2>&1`
7641 fi
7642 # Put the nasty error message in config.log where it belongs
7643 echo "$GIO_PKG_ERRORS" >&5
7644
7645 as_fn_error $? "Package requirements (gio-2.0 >= 2.0) were not met:
7646
7647 $GIO_PKG_ERRORS
7648
7649 Consider adjusting the PKG_CONFIG_PATH environment variable if you
7650 installed software in a non-standard prefix.
7651
7652 Alternatively, you may set the environment variables GIO_CFLAGS
7653 and GIO_LIBS to avoid the need to call pkg-config.
7654 See the pkg-config man page for more details." "$LINENO" 5
7655 elif test $pkg_failed = untried; then
7656 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
7657 $as_echo "no" >&6; }
7658 { { $as_echo "$as_me:${as_lineno-$LINENO}: error: in \`$ac_pwd':" >&5
7659 $as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
7660 as_fn_error $? "The pkg-config script could not be found or is too old. Make sure it
7661 is in your PATH or set the PKG_CONFIG environment variable to the full
7662 path to pkg-config.
7663
7664 Alternatively, you may set the environment variables GIO_CFLAGS
7665 and GIO_LIBS to avoid the need to call pkg-config.
7666 See the pkg-config man page for more details.
7667
7668 To get pkg-config, see <http://pkg-config.freedesktop.org/>.
7669 See \`config.log' for more details" "$LINENO" 5; }
7670 else
7671 GIO_CFLAGS=$pkg_cv_GIO_CFLAGS
7672 GIO_LIBS=$pkg_cv_GIO_LIBS
7673 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
7674 $as_echo "yes" >&6; }
7675
7676 fi
7677
7678
7679 # Check whether --enable-schemas-compile was given.
7680 if test "${enable_schemas_compile+set}" = set; then :
7681 enableval=$enable_schemas_compile; case ${enableval} in
7682 yes) GSETTINGS_DISABLE_SCHEMAS_COMPILE="" ;;
7683 no) GSETTINGS_DISABLE_SCHEMAS_COMPILE="1" ;;
7684 *) as_fn_error $? "bad value ${enableval} for --enable-schemas-compile" "$LINENO" 5 ;;
7685 esac
7686 fi
7687
7688
7689
7690
7691
7692
7693
7694
7695
7696 if test "x$ac_cv_env_PKG_CONFIG_set" != "xset"; then
7697 if test -n "$ac_tool_prefix"; then
7698 # Extract the first word of "${ac_tool_prefix}pkg-config", so it can be a program name with args.
7699 set dummy ${ac_tool_prefix}pkg-config; ac_word=$2
7700 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
7701 $as_echo_n "checking for $ac_word... " >&6; }
7702 if ${ac_cv_path_PKG_CONFIG+:} false; then :
7703 $as_echo_n "(cached) " >&6
7704 else
7705 case $PKG_CONFIG in
7706 [\\/]* | ?:[\\/]*)
7707 ac_cv_path_PKG_CONFIG="$PKG_CONFIG" # Let the user override the test with a path.
7708 ;;
7709 *)
7710 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
7711 for as_dir in $PATH
7712 do
7713 IFS=$as_save_IFS
7714 test -z "$as_dir" && as_dir=.
7715 for ac_exec_ext in '' $ac_executable_extensions; do
7716 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
7717 ac_cv_path_PKG_CONFIG="$as_dir/$ac_word$ac_exec_ext"
7718 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
7719 break 2
7720 fi
7721 done
7722 done
7723 IFS=$as_save_IFS
7724
7725 ;;
7726 esac
7727 fi
7728 PKG_CONFIG=$ac_cv_path_PKG_CONFIG
7729 if test -n "$PKG_CONFIG"; then
7730 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PKG_CONFIG" >&5
7731 $as_echo "$PKG_CONFIG" >&6; }
7732 else
7733 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
7734 $as_echo "no" >&6; }
7735 fi
7736
7737
7738 fi
7739 if test -z "$ac_cv_path_PKG_CONFIG"; then
7740 ac_pt_PKG_CONFIG=$PKG_CONFIG
7741 # Extract the first word of "pkg-config", so it can be a program name with args.
7742 set dummy pkg-config; ac_word=$2
7743 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
7744 $as_echo_n "checking for $ac_word... " >&6; }
7745 if ${ac_cv_path_ac_pt_PKG_CONFIG+:} false; then :
7746 $as_echo_n "(cached) " >&6
7747 else
7748 case $ac_pt_PKG_CONFIG in
7749 [\\/]* | ?:[\\/]*)
7750 ac_cv_path_ac_pt_PKG_CONFIG="$ac_pt_PKG_CONFIG" # Let the user override the test with a path.
7751 ;;
7752 *)
7753 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
7754 for as_dir in $PATH
7755 do
7756 IFS=$as_save_IFS
7757 test -z "$as_dir" && as_dir=.
7758 for ac_exec_ext in '' $ac_executable_extensions; do
7759 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
7760 ac_cv_path_ac_pt_PKG_CONFIG="$as_dir/$ac_word$ac_exec_ext"
7761 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
7762 break 2
7763 fi
7764 done
7765 done
7766 IFS=$as_save_IFS
7767
7768 ;;
7769 esac
7770 fi
7771 ac_pt_PKG_CONFIG=$ac_cv_path_ac_pt_PKG_CONFIG
7772 if test -n "$ac_pt_PKG_CONFIG"; then
7773 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_pt_PKG_CONFIG" >&5
7774 $as_echo "$ac_pt_PKG_CONFIG" >&6; }
7775 else
7776 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
7777 $as_echo "no" >&6; }
7778 fi
7779
7780 if test "x$ac_pt_PKG_CONFIG" = x; then
7781 PKG_CONFIG=""
7782 else
7783 case $cross_compiling:$ac_tool_warned in
7784 yes:)
7785 { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: using cross tools not prefixed with host triplet" >&5
7786 $as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
7787 ac_tool_warned=yes ;;
7788 esac
7789 PKG_CONFIG=$ac_pt_PKG_CONFIG
7790 fi
7791 else
7792 PKG_CONFIG="$ac_cv_path_PKG_CONFIG"
7793 fi
7794
7795 fi
7796 if test -n "$PKG_CONFIG"; then
7797 _pkg_min_version=0.16
7798 { $as_echo "$as_me:${as_lineno-$LINENO}: checking pkg-config is at least version $_pkg_min_version" >&5
7799 $as_echo_n "checking pkg-config is at least version $_pkg_min_version... " >&6; }
7800 if $PKG_CONFIG --atleast-pkgconfig-version $_pkg_min_version; then
7801 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
7802 $as_echo "yes" >&6; }
7803 else
7804 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
7805 $as_echo "no" >&6; }
7806 PKG_CONFIG=""
7807 fi
7808 fi
7809 gsettingsschemadir=${datadir}/glib-2.0/schemas
7810
7811 if test x$cross_compiling != xyes; then
7812 GLIB_COMPILE_SCHEMAS=`$PKG_CONFIG --variable glib_compile_schemas gio-2.0`
7813 else
7814 # Extract the first word of "glib-compile-schemas", so it can be a program name with args.
7815 set dummy glib-compile-schemas; ac_word=$2
7816 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
7817 $as_echo_n "checking for $ac_word... " >&6; }
7818 if ${ac_cv_path_GLIB_COMPILE_SCHEMAS+:} false; then :
7819 $as_echo_n "(cached) " >&6
7820 else
7821 case $GLIB_COMPILE_SCHEMAS in
7822 [\\/]* | ?:[\\/]*)
7823 ac_cv_path_GLIB_COMPILE_SCHEMAS="$GLIB_COMPILE_SCHEMAS" # Let the user override the test with a path.
7824 ;;
7825 *)
7826 as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
7827 for as_dir in $PATH
7828 do
7829 IFS=$as_save_IFS
7830 test -z "$as_dir" && as_dir=.
7831 for ac_exec_ext in '' $ac_executable_extensions; do
7832 if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
7833 ac_cv_path_GLIB_COMPILE_SCHEMAS="$as_dir/$ac_word$ac_exec_ext"
7834 $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
7835 break 2
7836 fi
7837 done
7838 done
7839 IFS=$as_save_IFS
7840
7841 ;;
7842 esac
7843 fi
7844 GLIB_COMPILE_SCHEMAS=$ac_cv_path_GLIB_COMPILE_SCHEMAS
7845 if test -n "$GLIB_COMPILE_SCHEMAS"; then
7846 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $GLIB_COMPILE_SCHEMAS" >&5
7847 $as_echo "$GLIB_COMPILE_SCHEMAS" >&6; }
7848 else
7849 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
7850 $as_echo "no" >&6; }
7851 fi
7852
7853
7854 fi
7855
7856 if test "x$GLIB_COMPILE_SCHEMAS" = "x"; then
7857 as_fn_error $? "glib-compile-schemas not found." "$LINENO" 5
7858 else
7859 :
7860 fi
7861
7862 GSETTINGS_RULES='
7863 .PHONY : uninstall-gsettings-schemas install-gsettings-schemas clean-gsettings-schemas
7864
7865 mostlyclean-am: clean-gsettings-schemas
7866
7867 gsettings__enum_file = $(addsuffix .enums.xml,$(gsettings_ENUM_NAMESPACE))
7868
7869 %.gschema.valid: %.gschema.xml $(gsettings__enum_file)
7870 $(AM_V_GEN) $(GLIB_COMPILE_SCHEMAS) --strict --dry-run $(addprefix --schema-file=,$(gsettings__enum_file)) --schema-file=$< && mkdir -p $(@D) && touch $@
7871
7872 all-am: $(gsettings_SCHEMAS:.xml=.valid)
7873 uninstall-am: uninstall-gsettings-schemas
7874 install-data-am: install-gsettings-schemas
7875
7876 .SECONDARY: $(gsettings_SCHEMAS)
7877
7878 install-gsettings-schemas: $(gsettings_SCHEMAS) $(gsettings__enum_file)
7879 @$(NORMAL_INSTALL)
7880 if test -n "$^"; then \
7881 test -z "$(gsettingsschemadir)" || $(MKDIR_P) "$(DESTDIR)$(gsettingsschemadir)"; \
7882 $(INSTALL_DATA) $^ "$(DESTDIR)$(gsettingsschemadir)"; \
7883 test -n "$(GSETTINGS_DISABLE_SCHEMAS_COMPILE)$(DESTDIR)" || $(GLIB_COMPILE_SCHEMAS) $(gsettingsschemadir); \
7884 fi
7885
7886 uninstall-gsettings-schemas:
7887 @$(NORMAL_UNINSTALL)
7888 @list='\''$(gsettings_SCHEMAS) $(gsettings__enum_file)'\''; test -n "$(gsettingsschemadir)" || list=; \
7889 files=`for p in $$list; do echo $$p; done | sed -e '\''s|^.*/||'\''`; \
7890 test -n "$$files" || exit 0; \
7891 echo " ( cd '\''$(DESTDIR)$(gsettingsschemadir)'\'' && rm -f" $$files ")"; \
7892 cd "$(DESTDIR)$(gsettingsschemadir)" && rm -f $$files
7893 test -n "$(GSETTINGS_DISABLE_SCHEMAS_COMPILE)$(DESTDIR)" || $(GLIB_COMPILE_SCHEMAS) $(gsettingsschemadir)
7894
7895 clean-gsettings-schemas:
7896 rm -f $(gsettings_SCHEMAS:.xml=.valid) $(gsettings__enum_file)
7897
7898 ifdef gsettings_ENUM_NAMESPACE
7899 $(gsettings__enum_file): $(gsettings_ENUM_FILES)
7900 $(AM_V_GEN) glib-mkenums --comments '\''<!-- @comment@ -->'\'' --fhead "<schemalist>" --vhead " <@type@ id='\''$(gsettings_ENUM_NAMESPACE).@EnumName@'\''>" --vprod " <value nick='\''@valuenick@'\'' value='\''@valuenum@'\''/>" --vtail " </@type@>" --ftail "</schemalist>" $^ > $@.tmp && mv $@.tmp $@
7901 endif
7902 '
7903
7904
7905
7906
7907
7908
7909 GSETTINGS_CFLAGS="-DWAVE_HAVE_GSETTINGS $GSETTINGS_CFLAGS"
7910
7911 fi
7912
75377913
75387914 pkg_failed=no
75397915 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for GTK_UNIX_PRINT" >&5
85338909 done
85348910
85358911
8536 # rpc workaround for cygwin
8537 for ac_header in rpc/types.h rpc/xdr.h
8912 # TI-RPC needed after glibc 2.26, where SunRPC will go away
8913 if test "x$with_tirpc" = xyes; then :
8914
8915 pkg_failed=no
8916 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for TIRPC" >&5
8917 $as_echo_n "checking for TIRPC... " >&6; }
8918
8919 if test -n "$TIRPC_CFLAGS"; then
8920 pkg_cv_TIRPC_CFLAGS="$TIRPC_CFLAGS"
8921 elif test -n "$PKG_CONFIG"; then
8922 if test -n "$PKG_CONFIG" && \
8923 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"libtirpc\""; } >&5
8924 ($PKG_CONFIG --exists --print-errors "libtirpc") 2>&5
8925 ac_status=$?
8926 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
8927 test $ac_status = 0; }; then
8928 pkg_cv_TIRPC_CFLAGS=`$PKG_CONFIG --cflags "libtirpc" 2>/dev/null`
8929 test "x$?" != "x0" && pkg_failed=yes
8930 else
8931 pkg_failed=yes
8932 fi
8933 else
8934 pkg_failed=untried
8935 fi
8936 if test -n "$TIRPC_LIBS"; then
8937 pkg_cv_TIRPC_LIBS="$TIRPC_LIBS"
8938 elif test -n "$PKG_CONFIG"; then
8939 if test -n "$PKG_CONFIG" && \
8940 { { $as_echo "$as_me:${as_lineno-$LINENO}: \$PKG_CONFIG --exists --print-errors \"libtirpc\""; } >&5
8941 ($PKG_CONFIG --exists --print-errors "libtirpc") 2>&5
8942 ac_status=$?
8943 $as_echo "$as_me:${as_lineno-$LINENO}: \$? = $ac_status" >&5
8944 test $ac_status = 0; }; then
8945 pkg_cv_TIRPC_LIBS=`$PKG_CONFIG --libs "libtirpc" 2>/dev/null`
8946 test "x$?" != "x0" && pkg_failed=yes
8947 else
8948 pkg_failed=yes
8949 fi
8950 else
8951 pkg_failed=untried
8952 fi
8953
8954
8955
8956 if test $pkg_failed = yes; then
8957 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
8958 $as_echo "no" >&6; }
8959
8960 if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
8961 _pkg_short_errors_supported=yes
8962 else
8963 _pkg_short_errors_supported=no
8964 fi
8965 if test $_pkg_short_errors_supported = yes; then
8966 TIRPC_PKG_ERRORS=`$PKG_CONFIG --short-errors --print-errors --cflags --libs "libtirpc" 2>&1`
8967 else
8968 TIRPC_PKG_ERRORS=`$PKG_CONFIG --print-errors --cflags --libs "libtirpc" 2>&1`
8969 fi
8970 # Put the nasty error message in config.log where it belongs
8971 echo "$TIRPC_PKG_ERRORS" >&5
8972
8973 as_fn_error $? "libtirpc requested, but library not found." "$LINENO" 5
8974
8975 elif test $pkg_failed = untried; then
8976 { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
8977 $as_echo "no" >&6; }
8978 as_fn_error $? "libtirpc requested, but library not found." "$LINENO" 5
8979
8980 else
8981 TIRPC_CFLAGS=$pkg_cv_TIRPC_CFLAGS
8982 TIRPC_LIBS=$pkg_cv_TIRPC_LIBS
8983 { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
8984 $as_echo "yes" >&6; }
8985 RPC_CFLAGS=$TIRPC_CFLAGS; RPC_LDADD=$TIRPC_LIBS;
8986 fi
8987 else
8988 RPC_CFLAGS=""; RPC_LDADD="";
8989 # rpc workaround for cygwin
8990 for ac_header in rpc/types.h rpc/xdr.h
85388991 do :
85398992 as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
85408993 ac_fn_c_check_header_compile "$LINENO" "$ac_header" "$as_ac_Header" "#ifdef HAVE_RPC_TYPES_H
8541 # include <rpc/types.h>
8542 #endif
8994 # include <rpc/types.h>
8995 #endif
85438996
85448997 "
85458998 if eval test \"x\$"$as_ac_Header"\" = x"yes"; then :
85509003 fi
85519004
85529005 done
9006
9007 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for xdrmem_create in -lnsl" >&5
9008 $as_echo_n "checking for xdrmem_create in -lnsl... " >&6; }
9009 if ${ac_cv_lib_nsl_xdrmem_create+:} false; then :
9010 $as_echo_n "(cached) " >&6
9011 else
9012 ac_check_lib_save_LIBS=$LIBS
9013 LIBS="-lnsl $LIBS"
9014 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
9015 /* end confdefs.h. */
9016
9017 /* Override any GCC internal prototype to avoid an error.
9018 Use char because int might match the return type of a GCC
9019 builtin and then its argument prototype would still apply. */
9020 #ifdef __cplusplus
9021 extern "C"
9022 #endif
9023 char xdrmem_create ();
9024 int
9025 main ()
9026 {
9027 return xdrmem_create ();
9028 ;
9029 return 0;
9030 }
9031 _ACEOF
9032 if ac_fn_c_try_link "$LINENO"; then :
9033 ac_cv_lib_nsl_xdrmem_create=yes
9034 else
9035 ac_cv_lib_nsl_xdrmem_create=no
9036 fi
9037 rm -f core conftest.err conftest.$ac_objext \
9038 conftest$ac_exeext conftest.$ac_ext
9039 LIBS=$ac_check_lib_save_LIBS
9040 fi
9041 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_nsl_xdrmem_create" >&5
9042 $as_echo "$ac_cv_lib_nsl_xdrmem_create" >&6; }
9043 if test "x$ac_cv_lib_nsl_xdrmem_create" = xyes; then :
9044 cat >>confdefs.h <<_ACEOF
9045 #define HAVE_LIBNSL 1
9046 _ACEOF
9047
9048 LIBS="-lnsl $LIBS"
9049
9050 fi
9051
9052 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for xdrmem_create in -lrpc" >&5
9053 $as_echo_n "checking for xdrmem_create in -lrpc... " >&6; }
9054 if ${ac_cv_lib_rpc_xdrmem_create+:} false; then :
9055 $as_echo_n "(cached) " >&6
9056 else
9057 ac_check_lib_save_LIBS=$LIBS
9058 LIBS="-lrpc $LIBS"
9059 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
9060 /* end confdefs.h. */
9061
9062 /* Override any GCC internal prototype to avoid an error.
9063 Use char because int might match the return type of a GCC
9064 builtin and then its argument prototype would still apply. */
9065 #ifdef __cplusplus
9066 extern "C"
9067 #endif
9068 char xdrmem_create ();
9069 int
9070 main ()
9071 {
9072 return xdrmem_create ();
9073 ;
9074 return 0;
9075 }
9076 _ACEOF
9077 if ac_fn_c_try_link "$LINENO"; then :
9078 ac_cv_lib_rpc_xdrmem_create=yes
9079 else
9080 ac_cv_lib_rpc_xdrmem_create=no
9081 fi
9082 rm -f core conftest.err conftest.$ac_objext \
9083 conftest$ac_exeext conftest.$ac_ext
9084 LIBS=$ac_check_lib_save_LIBS
9085 fi
9086 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_rpc_xdrmem_create" >&5
9087 $as_echo "$ac_cv_lib_rpc_xdrmem_create" >&6; }
9088 if test "x$ac_cv_lib_rpc_xdrmem_create" = xyes; then :
9089 cat >>confdefs.h <<_ACEOF
9090 #define HAVE_LIBRPC 1
9091 _ACEOF
9092
9093 LIBS="-lrpc $LIBS"
9094
9095 fi
9096
9097
9098
9099 fi
9100
85539101
85549102
85559103
1013410682 fi
1013510683 done
1013610684
10137 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for xdrmem_create in -lnsl" >&5
10138 $as_echo_n "checking for xdrmem_create in -lnsl... " >&6; }
10139 if ${ac_cv_lib_nsl_xdrmem_create+:} false; then :
10140 $as_echo_n "(cached) " >&6
10141 else
10142 ac_check_lib_save_LIBS=$LIBS
10143 LIBS="-lnsl $LIBS"
10144 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
10145 /* end confdefs.h. */
10146
10147 /* Override any GCC internal prototype to avoid an error.
10148 Use char because int might match the return type of a GCC
10149 builtin and then its argument prototype would still apply. */
10150 #ifdef __cplusplus
10151 extern "C"
10152 #endif
10153 char xdrmem_create ();
10154 int
10155 main ()
10156 {
10157 return xdrmem_create ();
10158 ;
10159 return 0;
10160 }
10161 _ACEOF
10162 if ac_fn_c_try_link "$LINENO"; then :
10163 ac_cv_lib_nsl_xdrmem_create=yes
10164 else
10165 ac_cv_lib_nsl_xdrmem_create=no
10166 fi
10167 rm -f core conftest.err conftest.$ac_objext \
10168 conftest$ac_exeext conftest.$ac_ext
10169 LIBS=$ac_check_lib_save_LIBS
10170 fi
10171 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_nsl_xdrmem_create" >&5
10172 $as_echo "$ac_cv_lib_nsl_xdrmem_create" >&6; }
10173 if test "x$ac_cv_lib_nsl_xdrmem_create" = xyes; then :
10174 cat >>confdefs.h <<_ACEOF
10175 #define HAVE_LIBNSL 1
10176 _ACEOF
10177
10178 LIBS="-lnsl $LIBS"
10179
10180 fi
10181
10182 { $as_echo "$as_me:${as_lineno-$LINENO}: checking for xdrmem_create in -lrpc" >&5
10183 $as_echo_n "checking for xdrmem_create in -lrpc... " >&6; }
10184 if ${ac_cv_lib_rpc_xdrmem_create+:} false; then :
10185 $as_echo_n "(cached) " >&6
10186 else
10187 ac_check_lib_save_LIBS=$LIBS
10188 LIBS="-lrpc $LIBS"
10189 cat confdefs.h - <<_ACEOF >conftest.$ac_ext
10190 /* end confdefs.h. */
10191
10192 /* Override any GCC internal prototype to avoid an error.
10193 Use char because int might match the return type of a GCC
10194 builtin and then its argument prototype would still apply. */
10195 #ifdef __cplusplus
10196 extern "C"
10197 #endif
10198 char xdrmem_create ();
10199 int
10200 main ()
10201 {
10202 return xdrmem_create ();
10203 ;
10204 return 0;
10205 }
10206 _ACEOF
10207 if ac_fn_c_try_link "$LINENO"; then :
10208 ac_cv_lib_rpc_xdrmem_create=yes
10209 else
10210 ac_cv_lib_rpc_xdrmem_create=no
10211 fi
10212 rm -f core conftest.err conftest.$ac_objext \
10213 conftest$ac_exeext conftest.$ac_ext
10214 LIBS=$ac_check_lib_save_LIBS
10215 fi
10216 { $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_rpc_xdrmem_create" >&5
10217 $as_echo "$ac_cv_lib_rpc_xdrmem_create" >&6; }
10218 if test "x$ac_cv_lib_rpc_xdrmem_create" = xyes; then :
10219 cat >>confdefs.h <<_ACEOF
10220 #define HAVE_LIBRPC 1
10221 _ACEOF
10222
10223 LIBS="-lrpc $LIBS"
10224
10225 fi
10226
1022710685
1022810686 # some AIX adds (linker flag for 32 bit compiles)
1022910687 if test "X$OSTYPE" = "Xaix" ; then
1040710865 TK_LIB_SPEC : $TK_LIB_SPEC
1040810866 TK_LDADD : $TK_LDADD
1040910867
10868 RPC_CFLAGS : $RPC_CFLAGS
10869 RPC_LDADD : $RPC_LDADD
10870
1041010871 CPPFLAGS : $CPPFLAGS
1041110872 CFLAGS : $CFLAGS
1041210873 LDFLAGS : $LDFLAGS
1042110882
1042210883 GCONF_CFLAGS : $GCONF_CFLAGS
1042310884 GCONF_LIBS : $GCONF_LIBS
10885 GSETTINGS_CFLAGS : $GSETTINGS_CFLAGS
1042410886
1042510887 GTK_UNIX_PRINT_CFLAGS : $GTK_UNIX_PRINT_CFLAGS
1042610888 GTK_UNIX_PRINT_LIBS : $GTK_UNIX_PRINT_LIBS
1046810930 TK_LIB_SPEC : $TK_LIB_SPEC
1046910931 TK_LDADD : $TK_LDADD
1047010932
10933 RPC_CFLAGS : $RPC_CFLAGS
10934 RPC_LDADD : $RPC_LDADD
10935
1047110936 CPPFLAGS : $CPPFLAGS
1047210937 CFLAGS : $CFLAGS
1047310938 LDFLAGS : $LDFLAGS
1048210947
1048310948 GCONF_CFLAGS : $GCONF_CFLAGS
1048410949 GCONF_LIBS : $GCONF_LIBS
10950 GSETTINGS_CFLAGS : $GSETTINGS_CFLAGS
1048510951
1048610952 GTK_UNIX_PRINT_CFLAGS : $GTK_UNIX_PRINT_CFLAGS
1048710953 GTK_UNIX_PRINT_LIBS : $GTK_UNIX_PRINT_LIBS
1103211498 # report actual input values of CONFIG_FILES etc. instead of their
1103311499 # values after options handling.
1103411500 ac_log="
11035 This file was extended by gtkwave $as_me 3.3.86, which was
11501 This file was extended by gtkwave $as_me 3.3.91, which was
1103611502 generated by GNU Autoconf 2.69. Invocation command line was
1103711503
1103811504 CONFIG_FILES = $CONFIG_FILES
1109811564 cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
1109911565 ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
1110011566 ac_cs_version="\\
11101 gtkwave config.status 3.3.86
11567 gtkwave config.status 3.3.91
1110211568 configured by $0, generated by GNU Autoconf 2.69,
1110311569 with options \\"\$ac_cs_config\\"
1110411570
11 # Process this file with autoconf to produce a configure script.
22
33 AC_PREREQ(2.59)
4 AC_INIT(gtkwave, 3.3.86, bybell@rocketmail.com)
4 AC_INIT(gtkwave, 3.3.91, bybell@rocketmail.com)
55 AC_CONFIG_SRCDIR([src/vcd.c])
66 AM_INIT_AUTOMAKE
77 AC_CONFIG_HEADER([config.h])
1414 [Use GConf to store preferences])],
1515 [],
1616 [with_gconf=check])
17
18 # ------------- GSETTINGS -------------------
19
20 AC_ARG_WITH([gsettings],
21 [AS_HELP_STRING([--with-gsettings],
22 [Use GSettings to store preferences (precludes GConf)])],
23 [],
24 [with_gsettings=check])
25
26
27 # ------------ TI-RPC ------------------
28
29 AC_ARG_WITH([tirpc],
30 [AS_HELP_STRING([--with-tirpc], [Use TI-RPC as RPC implementation (instead of SunRPC)])])
1731
1832 # ------------- XDG -------------------
1933 AC_ARG_WITH(xdgdatadir, [ --with-xdgdatadir=path Change where the theme icons and mime registrations are installed [[DATADIR]]], [opt_xdgdatadir=$withval])
717731 AC_SUBST(GCONF_LIBS)
718732 fi
719733
734 if test x$with_gsettings = xyes; then
735 PKG_CHECK_MODULES(GIO, gio-2.0 >= 2.0)
736 GLIB_GSETTINGS
737 GSETTINGS_CFLAGS="-DWAVE_HAVE_GSETTINGS $GSETTINGS_CFLAGS"
738 AC_SUBST(GSETTINGS_CFLAGS)
739 fi
740
720741 PKG_CHECK_MODULES(GTK_UNIX_PRINT,gtk+-unix-print-2.0, GUP_F="yes", GUP_F="no")
721742 if test "x$GUP_F" = xyes; then
722743 GTK_UNIX_PRINT_CFLAGS="${GTK_UNIX_PRINT_CFLAGS} -DWAVE_GTK_UNIX_PRINT"
733754 AC_HEADER_SYS_WAIT
734755 AC_CHECK_HEADERS([fcntl.h inttypes.h libintl.h limits.h malloc.h stddef.h stdint.h stdlib.h string.h strings.h sys/time.h unistd.h wchar.h wctype.h getopt.h])
735756
736 # rpc workaround for cygwin
737 AC_CHECK_HEADERS([rpc/types.h rpc/xdr.h], [], [],
738 [[#ifdef HAVE_RPC_TYPES_H
739 # include <rpc/types.h>
740 #endif
741 ]])
757 # TI-RPC needed after glibc 2.26, where SunRPC will go away
758 AS_IF([test "x$with_tirpc" = xyes],
759 [PKG_CHECK_MODULES([TIRPC],
760 [libtirpc],
761 [RPC_CFLAGS=$TIRPC_CFLAGS; RPC_LDADD=$TIRPC_LIBS;],
762 [AC_MSG_ERROR([libtirpc requested, but library not found.])]
763 )],
764 [RPC_CFLAGS=""; RPC_LDADD="";
765 # rpc workaround for cygwin
766 AC_CHECK_HEADERS([rpc/types.h rpc/xdr.h], [], [],
767 [[#ifdef HAVE_RPC_TYPES_H
768 # include <rpc/types.h>
769 #endif
770 ]])
771 AC_CHECK_LIB(nsl,xdrmem_create)
772 AC_CHECK_LIB(rpc,xdrmem_create)
773 ]
774 )
775 AC_SUBST(RPC_CFLAGS)
776 AC_SUBST(RPC_LDADD)
742777
743778
744779 # Checks for operand sizes.
773808 AC_FUNC_STRTOD
774809 AC_FUNC_VPRINTF
775810 AC_CHECK_FUNCS([atexit btowc bzero dup2 memmove memset munmap pow putenv re_comp realpath regcomp select setenv strcasecmp strchr strdup strerror strncasecmp strrchr strstr getopt_long setenv unsetenv])
776 AC_CHECK_LIB(nsl,xdrmem_create)
777 AC_CHECK_LIB(rpc,xdrmem_create)
778811
779812 # some AIX adds (linker flag for 32 bit compiles)
780813 if test "X$OSTYPE" = "Xaix" ; then
964997 TK_LIB_SPEC : $TK_LIB_SPEC
965998 TK_LDADD : $TK_LDADD
966999
1000 RPC_CFLAGS : $RPC_CFLAGS
1001 RPC_LDADD : $RPC_LDADD
1002
9671003 CPPFLAGS : $CPPFLAGS
9681004 CFLAGS : $CFLAGS
9691005 LDFLAGS : $LDFLAGS
9781014
9791015 GCONF_CFLAGS : $GCONF_CFLAGS
9801016 GCONF_LIBS : $GCONF_LIBS
1017 GSETTINGS_CFLAGS : $GSETTINGS_CFLAGS
9811018
9821019 GTK_UNIX_PRINT_CFLAGS : $GTK_UNIX_PRINT_CFLAGS
9831020 GTK_UNIX_PRINT_LIBS : $GTK_UNIX_PRINT_LIBS
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
77 <key>CFBundleExecutable</key>
88 <string>gtkwave</string>
99 <key>CFBundleGetInfoString</key>
10 <string>3.3.86, (C) 1999-2017 Tony Bybell http://gtkwave.sourceforge.net</string>
10 <string>3.3.91, (C) 1999-2018 Tony Bybell http://gtkwave.sourceforge.net</string>
1111 <key>CFBundleIconFile</key>
1212 <string>gtkwave.icns</string>
1313 <key>CFBundleIdentifier</key>
1717 <key>CFBundlePackageType</key>
1818 <string>APPL</string>
1919 <key>CFBundleShortVersionString</key>
20 <string>3.3.86</string>
20 <string>3.3.91</string>
2121 <key>CFBundleSignature</key>
2222 <string>????</string>
2323 <key>CFBundleVersion</key>
24 <string>3.3.86</string>
24 <string>3.3.91</string>
2525 <key>NSHumanReadableCopyright</key>
26 <string>Copyright 1999 - 2017 Tony Bybell, GNU General Public License.</string>
26 <string>Copyright 1999 - 2018 Tony Bybell, GNU General Public License.</string>
2727 <key>LSMinimumSystemVersion</key>
2828 <string>10.6</string>
2929
1010 gtkwave_files.icns \
1111 gtkwave.icns \
1212 gtkwave.pl \
13 gtkwave_bin_launcher.sh \
1314 gtkwave_savefiles.icns \
1415 Info-gtkwave.plist \
1516 launcher.sh \
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
265275 gtkwave_files.icns \
266276 gtkwave.icns \
267277 gtkwave.pl \
278 gtkwave_bin_launcher.sh \
268279 gtkwave_savefiles.icns \
269280 Info-gtkwave.plist \
270281 launcher.sh \
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
195195 GCONF_LIBS = @GCONF_LIBS@
196196 GEDITTEST = @GEDITTEST@
197197 GEDIT_CFLAGS = @GEDIT_CFLAGS@
198 GIO_CFLAGS = @GIO_CFLAGS@
199 GIO_LIBS = @GIO_LIBS@
200 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
198201 GPERF = @GPERF@
199202 GREP = @GREP@
203 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
204 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
200205 GTK_CFLAGS = @GTK_CFLAGS@
201206 GTK_CONFIG = @GTK_CONFIG@
202207 GTK_LIBS = @GTK_LIBS@
244249 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
245250 POW_LIB = @POW_LIB@
246251 RANLIB = @RANLIB@
252 RPC_CFLAGS = @RPC_CFLAGS@
253 RPC_LDADD = @RPC_LDADD@
247254 SET_MAKE = @SET_MAKE@
248255 SHELL = @SHELL@
249256 STRIP = @STRIP@
254261 TCL_LIB_SPEC = @TCL_LIB_SPEC@
255262 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
256263 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
264 TIRPC_CFLAGS = @TIRPC_CFLAGS@
265 TIRPC_LIBS = @TIRPC_LIBS@
257266 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
258267 TK_LDADD = @TK_LDADD@
259268 TK_LIB_SPEC = @TK_LIB_SPEC@
280289 docdir = @docdir@
281290 dvidir = @dvidir@
282291 exec_prefix = @exec_prefix@
292 gsettingsschemadir = @gsettingsschemadir@
283293 host_alias = @host_alias@
284294 htmldir = @htmldir@
285295 includedir = @includedir@
193193 GCONF_LIBS = @GCONF_LIBS@
194194 GEDITTEST = @GEDITTEST@
195195 GEDIT_CFLAGS = @GEDIT_CFLAGS@
196 GIO_CFLAGS = @GIO_CFLAGS@
197 GIO_LIBS = @GIO_LIBS@
198 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
196199 GPERF = @GPERF@
197200 GREP = @GREP@
201 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
202 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
198203 GTK_CFLAGS = @GTK_CFLAGS@
199204 GTK_CONFIG = @GTK_CONFIG@
200205 GTK_LIBS = @GTK_LIBS@
242247 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
243248 POW_LIB = @POW_LIB@
244249 RANLIB = @RANLIB@
250 RPC_CFLAGS = @RPC_CFLAGS@
251 RPC_LDADD = @RPC_LDADD@
245252 SET_MAKE = @SET_MAKE@
246253 SHELL = @SHELL@
247254 STRIP = @STRIP@
252259 TCL_LIB_SPEC = @TCL_LIB_SPEC@
253260 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
254261 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
262 TIRPC_CFLAGS = @TIRPC_CFLAGS@
263 TIRPC_LIBS = @TIRPC_LIBS@
255264 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
256265 TK_LDADD = @TK_LDADD@
257266 TK_LIB_SPEC = @TK_LIB_SPEC@
278287 docdir = @docdir@
279288 dvidir = @dvidir@
280289 exec_prefix = @exec_prefix@
290 gsettingsschemadir = @gsettingsschemadir@
281291 host_alias = @host_alias@
282292 htmldir = @htmldir@
283293 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
235235 GCONF_LIBS = @GCONF_LIBS@
236236 GEDITTEST = @GEDITTEST@
237237 GEDIT_CFLAGS = @GEDIT_CFLAGS@
238 GIO_CFLAGS = @GIO_CFLAGS@
239 GIO_LIBS = @GIO_LIBS@
240 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
238241 GPERF = @GPERF@
239242 GREP = @GREP@
243 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
244 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
240245 GTK_CFLAGS = @GTK_CFLAGS@
241246 GTK_CONFIG = @GTK_CONFIG@
242247 GTK_LIBS = @GTK_LIBS@
284289 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
285290 POW_LIB = @POW_LIB@
286291 RANLIB = @RANLIB@
292 RPC_CFLAGS = @RPC_CFLAGS@
293 RPC_LDADD = @RPC_LDADD@
287294 SET_MAKE = @SET_MAKE@
288295 SHELL = @SHELL@
289296 STRIP = @STRIP@
294301 TCL_LIB_SPEC = @TCL_LIB_SPEC@
295302 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
296303 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
304 TIRPC_CFLAGS = @TIRPC_CFLAGS@
305 TIRPC_LIBS = @TIRPC_LIBS@
297306 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
298307 TK_LDADD = @TK_LDADD@
299308 TK_LIB_SPEC = @TK_LIB_SPEC@
320329 docdir = @docdir@
321330 dvidir = @dvidir@
322331 exec_prefix = @exec_prefix@
332 gsettingsschemadir = @gsettingsschemadir@
323333 host_alias = @host_alias@
324334 htmldir = @htmldir@
325335 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
150150 GCONF_LIBS = @GCONF_LIBS@
151151 GEDITTEST = @GEDITTEST@
152152 GEDIT_CFLAGS = @GEDIT_CFLAGS@
153 GIO_CFLAGS = @GIO_CFLAGS@
154 GIO_LIBS = @GIO_LIBS@
155 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
153156 GPERF = @GPERF@
154157 GREP = @GREP@
158 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
159 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
155160 GTK_CFLAGS = @GTK_CFLAGS@
156161 GTK_CONFIG = @GTK_CONFIG@
157162 GTK_LIBS = @GTK_LIBS@
199204 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
200205 POW_LIB = @POW_LIB@
201206 RANLIB = @RANLIB@
207 RPC_CFLAGS = @RPC_CFLAGS@
208 RPC_LDADD = @RPC_LDADD@
202209 SET_MAKE = @SET_MAKE@
203210 SHELL = @SHELL@
204211 STRIP = @STRIP@
209216 TCL_LIB_SPEC = @TCL_LIB_SPEC@
210217 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
211218 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
219 TIRPC_CFLAGS = @TIRPC_CFLAGS@
220 TIRPC_LIBS = @TIRPC_LIBS@
212221 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
213222 TK_LDADD = @TK_LDADD@
214223 TK_LIB_SPEC = @TK_LIB_SPEC@
235244 docdir = @docdir@
236245 dvidir = @dvidir@
237246 exec_prefix = @exec_prefix@
247 gsettingsschemadir = @gsettingsschemadir@
238248 host_alias = @host_alias@
239249 htmldir = @htmldir@
240250 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
219219 GCONF_LIBS = @GCONF_LIBS@
220220 GEDITTEST = @GEDITTEST@
221221 GEDIT_CFLAGS = @GEDIT_CFLAGS@
222 GIO_CFLAGS = @GIO_CFLAGS@
223 GIO_LIBS = @GIO_LIBS@
224 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
222225 GPERF = @GPERF@
223226 GREP = @GREP@
227 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
228 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
224229 GTK_CFLAGS = @GTK_CFLAGS@
225230 GTK_CONFIG = @GTK_CONFIG@
226231 GTK_LIBS = @GTK_LIBS@
268273 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
269274 POW_LIB = @POW_LIB@
270275 RANLIB = @RANLIB@
276 RPC_CFLAGS = @RPC_CFLAGS@
277 RPC_LDADD = @RPC_LDADD@
271278 SET_MAKE = @SET_MAKE@
272279 SHELL = @SHELL@
273280 STRIP = @STRIP@
278285 TCL_LIB_SPEC = @TCL_LIB_SPEC@
279286 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
280287 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
288 TIRPC_CFLAGS = @TIRPC_CFLAGS@
289 TIRPC_LIBS = @TIRPC_LIBS@
281290 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
282291 TK_LDADD = @TK_LDADD@
283292 TK_LIB_SPEC = @TK_LIB_SPEC@
304313 docdir = @docdir@
305314 dvidir = @dvidir@
306315 exec_prefix = @exec_prefix@
316 gsettingsschemadir = @gsettingsschemadir@
307317 host_alias = @host_alias@
308318 htmldir = @htmldir@
309319 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
187187 GCONF_LIBS = @GCONF_LIBS@
188188 GEDITTEST = @GEDITTEST@
189189 GEDIT_CFLAGS = @GEDIT_CFLAGS@
190 GIO_CFLAGS = @GIO_CFLAGS@
191 GIO_LIBS = @GIO_LIBS@
192 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
190193 GPERF = @GPERF@
191194 GREP = @GREP@
195 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
196 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
192197 GTK_CFLAGS = @GTK_CFLAGS@
193198 GTK_CONFIG = @GTK_CONFIG@
194199 GTK_LIBS = @GTK_LIBS@
236241 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
237242 POW_LIB = @POW_LIB@
238243 RANLIB = @RANLIB@
244 RPC_CFLAGS = @RPC_CFLAGS@
245 RPC_LDADD = @RPC_LDADD@
239246 SET_MAKE = @SET_MAKE@
240247 SHELL = @SHELL@
241248 STRIP = @STRIP@
246253 TCL_LIB_SPEC = @TCL_LIB_SPEC@
247254 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
248255 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
256 TIRPC_CFLAGS = @TIRPC_CFLAGS@
257 TIRPC_LIBS = @TIRPC_LIBS@
249258 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
250259 TK_LDADD = @TK_LDADD@
251260 TK_LIB_SPEC = @TK_LIB_SPEC@
272281 docdir = @docdir@
273282 dvidir = @dvidir@
274283 exec_prefix = @exec_prefix@
284 gsettingsschemadir = @gsettingsschemadir@
275285 host_alias = @host_alias@
276286 htmldir = @htmldir@
277287 includedir = @includedir@
187187 GCONF_LIBS = @GCONF_LIBS@
188188 GEDITTEST = @GEDITTEST@
189189 GEDIT_CFLAGS = @GEDIT_CFLAGS@
190 GIO_CFLAGS = @GIO_CFLAGS@
191 GIO_LIBS = @GIO_LIBS@
192 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
190193 GPERF = @GPERF@
191194 GREP = @GREP@
195 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
196 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
192197 GTK_CFLAGS = @GTK_CFLAGS@
193198 GTK_CONFIG = @GTK_CONFIG@
194199 GTK_LIBS = @GTK_LIBS@
236241 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
237242 POW_LIB = @POW_LIB@
238243 RANLIB = @RANLIB@
244 RPC_CFLAGS = @RPC_CFLAGS@
245 RPC_LDADD = @RPC_LDADD@
239246 SET_MAKE = @SET_MAKE@
240247 SHELL = @SHELL@
241248 STRIP = @STRIP@
246253 TCL_LIB_SPEC = @TCL_LIB_SPEC@
247254 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
248255 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
256 TIRPC_CFLAGS = @TIRPC_CFLAGS@
257 TIRPC_LIBS = @TIRPC_LIBS@
249258 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
250259 TK_LDADD = @TK_LDADD@
251260 TK_LIB_SPEC = @TK_LIB_SPEC@
272281 docdir = @docdir@
273282 dvidir = @dvidir@
274283 exec_prefix = @exec_prefix@
284 gsettingsschemadir = @gsettingsschemadir@
275285 host_alias = @host_alias@
276286 htmldir = @htmldir@
277287 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
252252 GCONF_LIBS = @GCONF_LIBS@
253253 GEDITTEST = @GEDITTEST@
254254 GEDIT_CFLAGS = @GEDIT_CFLAGS@
255 GIO_CFLAGS = @GIO_CFLAGS@
256 GIO_LIBS = @GIO_LIBS@
257 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
255258 GPERF = @GPERF@
256259 GREP = @GREP@
260 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
261 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
257262 GTK_CFLAGS = @GTK_CFLAGS@
258263 GTK_CONFIG = @GTK_CONFIG@
259264 GTK_LIBS = @GTK_LIBS@
301306 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
302307 POW_LIB = @POW_LIB@
303308 RANLIB = @RANLIB@
309 RPC_CFLAGS = @RPC_CFLAGS@
310 RPC_LDADD = @RPC_LDADD@
304311 SET_MAKE = @SET_MAKE@
305312 SHELL = @SHELL@
306313 STRIP = @STRIP@
311318 TCL_LIB_SPEC = @TCL_LIB_SPEC@
312319 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
313320 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
321 TIRPC_CFLAGS = @TIRPC_CFLAGS@
322 TIRPC_LIBS = @TIRPC_LIBS@
314323 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
315324 TK_LDADD = @TK_LDADD@
316325 TK_LIB_SPEC = @TK_LIB_SPEC@
337346 docdir = @docdir@
338347 dvidir = @dvidir@
339348 exec_prefix = @exec_prefix@
349 gsettingsschemadir = @gsettingsschemadir@
340350 host_alias = @host_alias@
341351 htmldir = @htmldir@
342352 includedir = @includedir@
191191 GCONF_LIBS = @GCONF_LIBS@
192192 GEDITTEST = @GEDITTEST@
193193 GEDIT_CFLAGS = @GEDIT_CFLAGS@
194 GIO_CFLAGS = @GIO_CFLAGS@
195 GIO_LIBS = @GIO_LIBS@
196 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
194197 GPERF = @GPERF@
195198 GREP = @GREP@
199 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
200 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
196201 GTK_CFLAGS = @GTK_CFLAGS@
197202 GTK_CONFIG = @GTK_CONFIG@
198203 GTK_LIBS = @GTK_LIBS@
240245 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
241246 POW_LIB = @POW_LIB@
242247 RANLIB = @RANLIB@
248 RPC_CFLAGS = @RPC_CFLAGS@
249 RPC_LDADD = @RPC_LDADD@
243250 SET_MAKE = @SET_MAKE@
244251 SHELL = @SHELL@
245252 STRIP = @STRIP@
250257 TCL_LIB_SPEC = @TCL_LIB_SPEC@
251258 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
252259 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
260 TIRPC_CFLAGS = @TIRPC_CFLAGS@
261 TIRPC_LIBS = @TIRPC_LIBS@
253262 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
254263 TK_LDADD = @TK_LDADD@
255264 TK_LIB_SPEC = @TK_LIB_SPEC@
276285 docdir = @docdir@
277286 dvidir = @dvidir@
278287 exec_prefix = @exec_prefix@
288 gsettingsschemadir = @gsettingsschemadir@
279289 host_alias = @host_alias@
280290 htmldir = @htmldir@
281291 includedir = @includedir@
366366 SetWordType zzerr12[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
367367 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
368368 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
369 SetWordType zzerr13[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
369 SetWordType zzerr13[32] = {0x0,0x0,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x0,
370370 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
371371 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
372372 SetWordType zzerr14[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
373373 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
374374 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
375 SetWordType zzerr15[32] = {0x0,0x0,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x0,
376 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
377 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
375378 SetWordType setwd3[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
376379 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
377380 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
378381 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
379382 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
380 0x0,0x0,0x0,0x54,0x0,0x0,0x0,0x0,
381 0x54,0x0,0x2,0x0,0x0,0x0,0x0,0xa8,
382 0xa8,0xa8,0x0,0x0,0x0,0xa8,0x0,0x0,
383 0x0,0x0,0x0,0xb4,0x0,0x0,0x0,0x0,
384 0xb4,0x0,0x2,0x0,0x0,0x0,0x0,0x48,
385 0x48,0x48,0x0,0x0,0x0,0x48,0x0,0x0,
383386 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
384387 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
385388 0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,
402405 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
403406 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
404407 0x0,0x0,0x0};
405 SetWordType zzerr15[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x2,0x0, 0x0,0x0,0x0,0x0,
406 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
407 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
408 SetWordType zzerr16[32] = {0x0,0x8,0x0,0x0, 0x0,0xa4,0xc0,0x10, 0x0,0x0,0x0,0x0,
409 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
410 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
411 SetWordType zzerr17[32] = {0x0,0x0,0x0,0x0, 0x0,0xa4,0xc0,0x10, 0x0,0x0,0x0,0x0,
412 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
413 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
414 SetWordType zzerr18[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
415 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
416 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
417 SetWordType zzerr19[32] = {0x0,0x0,0x0,0x0, 0x0,0xcc,0x0,0x0, 0x0,0x0,0x0,0x0,
408 SetWordType zzerr16[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
409 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
410 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
411 SetWordType zzerr17[32] = {0x0,0x0,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x0,
412 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
413 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
414 SetWordType zzerr18[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x2,0x0, 0x0,0x0,0x0,0x0,
415 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
416 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
417 SetWordType zzerr19[32] = {0x0,0x8,0x0,0x0, 0x0,0xa4,0xc0,0x10, 0x0,0x0,0x0,0x0,
418418 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
419419 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
420420 SetWordType setwd4[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
422422 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
423423 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
424424 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
425 0x0,0x0,0x0,0xed,0x0,0x0,0x4,0x80,
426 0xed,0x0,0x2,0x0,0x0,0x0,0x0,0x14,
427 0x14,0x0,0x0,0x0,0x0,0x14,0x0,0x0,
428 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
429 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
430 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
431 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
432 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
433 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
434 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
435 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
436 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
437 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
438 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
439 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
440 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
441 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
442 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
443 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
444 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
445 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
446 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
447 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
448 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
449 0x0,0x0,0x0};
450 SetWordType zzerr20[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0xd0, 0x1d,0x9,0x80,0x10,
425 0x0,0x0,0x0,0x6d,0x0,0x0,0x20,0x0,
426 0x6d,0x0,0x10,0x0,0x0,0x0,0x0,0xa2,
427 0xa2,0x2,0x0,0x0,0x0,0xa2,0x0,0x0,
428 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
429 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
430 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
431 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
432 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
433 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
434 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
435 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
436 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
437 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
438 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
439 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
440 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
441 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
442 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
443 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
444 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
445 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
446 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
447 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
448 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
449 0x0,0x0,0x0};
450 SetWordType zzerr20[32] = {0x0,0x0,0x0,0x0, 0x0,0xa4,0xc0,0x10, 0x0,0x0,0x0,0x0,
451 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
452 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
453 SetWordType zzerr21[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
454 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
455 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
456 SetWordType zzerr22[32] = {0x0,0x0,0x0,0x0, 0x0,0xcc,0x0,0x0, 0x0,0x0,0x0,0x0,
457 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
458 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
459 SetWordType zzerr23[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0xd0, 0x1d,0x9,0x80,0x10,
451460 0xf,0xfa,0x2f,0x9f, 0x4f,0xec,0xff,0xc4, 0x3,0x13,0x0,0x0,
452461 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
453 SetWordType zzerr21[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
462 SetWordType setwd5[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
463 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
464 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
465 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
466 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
467 0x0,0x0,0x0,0xf,0x0,0x0,0x0,0xc,
468 0xf,0x0,0x0,0x0,0x0,0x0,0x0,0xa0,
469 0xa0,0x0,0x0,0x0,0x0,0xa0,0x0,0x80,
470 0x90,0xc0,0x0,0x90,0x90,0x90,0x0,0x0,
471 0x0,0x90,0x0,0x0,0x80,0x0,0x0,0x0,
472 0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,
473 0x80,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
474 0x0,0x80,0x80,0x80,0x80,0x0,0x0,0x0,
475 0x0,0x0,0x90,0x0,0x90,0x90,0x90,0x90,
476 0x90,0x90,0x80,0x80,0x90,0x0,0x80,0x0,
477 0x0,0x90,0x90,0x90,0x80,0x80,0x0,0x0,
478 0x90,0x90,0x90,0x90,0x90,0x0,0x0,0x80,
479 0x0,0x0,0x0,0xc0,0xc0,0x0,0x80,0x80,
480 0x90,0x90,0x90,0xc0,0xc0,0xc0,0xc0,0xc0,
481 0xc0,0x0,0x0,0xc0,0x0,0x0,0x0,0xc0,
482 0xc0,0x90,0x90,0x0,0x0,0x0,0x0,0x0,
483 0x0,0x80,0x80,0x0,0x0,0x80,0x0,0x0,
484 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
485 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
486 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
487 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
488 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
489 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
490 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
491 0x0,0x0,0x0};
492 SetWordType zzerr24[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
454493 0x4,0x0,0x2,0x10, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
455494 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
456 SetWordType zzerr22[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
495 SetWordType zzerr25[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
457496 0x1,0x0,0x0,0x0, 0x0,0x10,0x0,0x0, 0x0,0x0,0x0,0x0,
458497 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
459 SetWordType setwd5[234] = {0x0,0x40,0x0,0x0,0x0,0x0,0x0,
460 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
461 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
462 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
463 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
464 0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x1,
465 0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x54,
466 0x54,0x0,0x0,0x0,0x0,0x54,0x0,0x50,
467 0x52,0x58,0x0,0x52,0x52,0x52,0x0,0x0,
468 0x0,0x52,0x0,0x0,0x50,0x0,0x0,0x0,
469 0x0,0x0,0x0,0x50,0x0,0x0,0x0,0x0,
470 0x50,0x0,0x0,0x0,0x0,0x50,0x0,0x0,
471 0x0,0xd0,0x50,0xf0,0x50,0x0,0x0,0x40,
472 0x0,0x40,0x52,0x0,0x52,0x52,0x52,0x52,
473 0x52,0x52,0xf0,0x50,0x52,0x0,0x50,0x0,
474 0x0,0x52,0x52,0x52,0x50,0xf0,0x0,0x0,
475 0x52,0x52,0x52,0x52,0x52,0x0,0x0,0x50,
476 0x0,0x0,0x0,0x58,0x58,0x80,0x50,0x50,
477 0x52,0x52,0x52,0x58,0x58,0x58,0x58,0x58,
478 0x58,0x0,0x0,0x58,0x0,0x0,0x0,0x58,
479 0x58,0x52,0x52,0x0,0x0,0x40,0x0,0x0,
480 0x0,0x50,0x50,0x0,0x0,0x50,0x0,0x0,
481 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
482 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
483 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
484 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
485 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
486 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
487 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
488 0x0,0x0,0x0};
489 SetWordType zzerr23[32] = {0x44,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
490 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
491 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
492 SetWordType zzerr24[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
493 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
494 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
495 SetWordType zzerr25[32] = {0x0,0x0,0x0,0x0, 0x0,0x1,0x2,0x0, 0x0,0x0,0x0,0x0,
496 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
497 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
498 SetWordType zzerr26[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
499 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
500 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
501 SetWordType setwd6[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
502 0x0,0x0,0x0,0x4,0x0,0x0,0x0,0x0,
503 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
504 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
505 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
506 0x0,0x90,0x90,0x0,0x0,0x0,0x0,0x0,
507 0x0,0x0,0x2,0x0,0x0,0x0,0x0,0x0,
508 0x0,0x0,0xd0,0x0,0x90,0x0,0xd0,0x0,
509 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
510 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
511 0x0,0x0,0x0,0x0,0x8,0x0,0xa0,0x0,
512 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
513 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
514 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
515 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
516 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
517 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
518 0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,
519 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
520 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
521 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
522 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
523 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
524 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
525 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
526 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
527 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
528 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
529 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
530 0x0,0x0,0x0};
531 SetWordType zzerr27[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x0,0x8, 0x0,0x0,0x0,0x0,
532 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
533 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
534 SetWordType zzerr28[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x22, 0x0,0x0,0x0,0x0,
535 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
536 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
537 SetWordType zzerr29[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x0,0x2a, 0x0,0x0,0x0,0x0,
498 SetWordType zzerr26[32] = {0x44,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
499 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
500 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
501 SetWordType setwd6[234] = {0x0,0x2,0x0,0x0,0x0,0x0,0x0,
502 0x0,0x0,0x0,0x20,0x0,0x0,0x0,0x0,
503 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
504 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
505 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
506 0x0,0x80,0x80,0x0,0x0,0x0,0x0,0x0,
507 0x0,0x0,0x10,0x0,0x0,0x0,0x0,0x2,
508 0x2,0x0,0x80,0x0,0x80,0x2,0x80,0x2,
509 0x2,0x2,0x0,0x2,0x2,0x2,0x0,0x0,
510 0x0,0x2,0x0,0x0,0x2,0x0,0x0,0x0,
511 0x0,0x0,0x0,0x2,0x40,0x0,0x0,0x0,
512 0x2,0x0,0x0,0x0,0x0,0x2,0x0,0x0,
513 0x0,0x6,0x2,0x7,0x2,0x0,0x0,0x2,
514 0x0,0x2,0x2,0x0,0x2,0x2,0x2,0x2,
515 0x2,0x2,0x7,0x2,0x2,0x0,0x2,0x0,
516 0x0,0x2,0x2,0x2,0x2,0x7,0x0,0x0,
517 0x2,0x2,0x2,0x2,0x2,0x0,0x0,0x2,
518 0x0,0x0,0x0,0x2,0x2,0xc,0x2,0x2,
519 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
520 0x2,0x0,0x0,0x2,0x0,0x0,0x0,0x2,
521 0x2,0x2,0x2,0x0,0x0,0x2,0x0,0x0,
522 0x0,0x2,0x2,0x0,0x0,0x2,0x0,0x0,
523 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
524 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
525 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
526 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
527 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
528 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
529 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
530 0x0,0x0,0x0};
531 SetWordType zzerr27[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
532 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
533 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
534 SetWordType zzerr28[32] = {0x0,0x0,0x0,0x0, 0x0,0x1,0x2,0x0, 0x0,0x0,0x0,0x0,
535 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
536 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
537 SetWordType zzerr29[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
538 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
539 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
540 SetWordType zzerr30[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x0,0x8, 0x0,0x0,0x0,0x0,
541 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
542 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
543 SetWordType zzerr31[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x22, 0x0,0x0,0x0,0x0,
538544 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
539545 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
540546 SetWordType setwd7[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
542548 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
543549 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
544550 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
545 0x0,0x43,0xe3,0x9,0x0,0x0,0x0,0x0,
546 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
547 0x0,0x0,0xd7,0x0,0xe3,0x0,0xd7,0x0,
548 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
549 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
550 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
551 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
552 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
553 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
554 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
555 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
556 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
557 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
558 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
559 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
560 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
561 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
562 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
563 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
564 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
565 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
566 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
567 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
568 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
569 0x0,0x0,0x0};
570 SetWordType zzerr30[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x24, 0x0,0x0,0x0,0x0,
571 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
572 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
573 SetWordType zzerr31[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x10, 0x0,0x0,0x0,0x0,
574 0x8,0x0,0x0,0x8, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
551 0x0,0x1c,0x1c,0x48,0x0,0x0,0x0,0x0,
552 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
553 0x0,0x0,0xbe,0x0,0x1c,0x0,0xbe,0x0,
554 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
555 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
556 0x0,0x0,0x0,0x0,0x0,0x0,0x5,0x0,
557 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
558 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
559 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
560 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
561 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
562 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
563 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
564 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
565 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
566 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
567 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
568 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
569 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
570 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
571 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
572 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
573 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
574 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
575 0x0,0x0,0x0};
576 SetWordType zzerr32[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x0,0x2a, 0x0,0x0,0x0,0x0,
577 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
578 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
579 SetWordType zzerr33[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x24, 0x0,0x0,0x0,0x0,
580 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
575581 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
576582 SetWordType setwd8[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
577583 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
578584 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
579585 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
580586 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
581 0x0,0x3,0x0,0x0,0x20,0x0,0x0,0x0,
582 0x0,0x0,0x4,0x0,0x0,0x0,0x0,0x50,
583 0x50,0x0,0x0,0x0,0x0,0x50,0x0,0x10,
584 0x10,0x10,0x0,0x10,0x10,0x10,0x0,0x0,
585 0x0,0x10,0x0,0x0,0x10,0x0,0x0,0x0,
586 0x0,0x0,0x0,0x10,0x0,0x0,0x0,0x0,
587 0x10,0x0,0x0,0x0,0x0,0x10,0x0,0x0,
588 0x0,0x10,0x98,0x98,0xb8,0x0,0x0,0x0,
589 0x0,0x0,0x10,0x0,0x10,0x10,0x10,0x10,
590 0x10,0x10,0x98,0x98,0x10,0x0,0x10,0x0,
591 0x0,0x10,0x10,0x10,0xb8,0x98,0x0,0x0,
592 0x10,0x10,0x10,0x10,0x10,0x0,0x0,0x10,
593 0x0,0x0,0x0,0x10,0x10,0x0,0x10,0x98,
594 0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,
595 0x10,0x0,0x0,0x10,0x0,0x0,0x0,0x10,
596 0x10,0x10,0x10,0x0,0x0,0x0,0x0,0x0,
597 0x0,0x10,0x10,0x0,0x0,0x98,0x0,0x0,
598 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
599 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
600 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
601 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
602 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
603 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
604 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
605 0x0,0x0,0x0};
606 SetWordType zzerr32[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0x0,0x0, 0x0,0x0,0x0,0x0,
607 0x8,0x0,0x0,0x8, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
608 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
609 SetWordType zzerr33[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
610 0xe,0x0,0x6,0x18, 0x0,0x40,0x0,0x0, 0x0,0x10,0x0,0x0,
611 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
612 SetWordType zzerr34[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
613 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
614 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
615 SetWordType zzerr35[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
616 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
617 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
618 SetWordType setwd9[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
619 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
620 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
621 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
622 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
623 0x0,0x0,0x0,0x80,0x0,0x0,0x54,0x0,
624 0x80,0x54,0xd4,0x54,0x54,0x0,0x0,0x7f,
625 0x7f,0x7c,0x0,0x0,0x0,0x7f,0x0,0x51,
626 0x51,0x55,0x54,0x51,0x51,0x51,0x54,0x54,
627 0x54,0x51,0x54,0x0,0x51,0x54,0x0,0x0,
628 0x50,0x0,0x0,0x51,0x0,0x0,0x0,0x0,
629 0x51,0x54,0x54,0x54,0x54,0x51,0x0,0x0,
630 0x54,0x51,0x55,0x55,0x55,0x50,0x0,0x0,
631 0x0,0x0,0x51,0x0,0x51,0x51,0x51,0x51,
632 0x51,0x51,0x55,0x55,0x51,0x0,0x51,0x0,
633 0x0,0x51,0x51,0x51,0x55,0x55,0x54,0x54,
634 0x51,0x51,0x51,0x51,0x51,0x0,0x0,0x51,
635 0x0,0x0,0x0,0x51,0x51,0x0,0x51,0x55,
636 0x51,0x51,0x51,0x51,0x51,0x51,0x51,0x51,
637 0x51,0x0,0x54,0x51,0x0,0x0,0x54,0x51,
638 0x51,0x51,0x51,0x0,0x0,0x0,0x0,0x0,
639 0x0,0x51,0x51,0x0,0x0,0x55,0x0,0x0,
640 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
641 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
642 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
643 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
644 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
645 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
646 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
647 0x0,0x0,0x0};
648 SetWordType zzerr36[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
649 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
650 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
651 SetWordType zzerr37[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
652 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
653 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
654 SetWordType zzerr38[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
655 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
656 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
657 SetWordType zzerr39[32] = {0x0,0x0,0x0,0x0, 0x0,0x2a,0xc4,0x11, 0x0,0x0,0x0,0x0,
658 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
659 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
660 SetWordType setwd10[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
661 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
662 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
663 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
664 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
665 0x0,0x0,0x0,0x0,0x80,0x0,0xd4,0x0,
666 0x0,0x54,0x55,0xd4,0x54,0x0,0x0,0xfe,
667 0xfe,0xfe,0x0,0x0,0x0,0xfe,0x0,0x54,
668 0x54,0x54,0x54,0x54,0x54,0x54,0x54,0x54,
669 0x54,0x54,0x54,0x0,0x54,0x54,0x0,0x0,
670 0x0,0x0,0x0,0x54,0x0,0x0,0x0,0x0,
671 0x54,0x54,0x54,0x54,0x54,0x54,0x0,0x0,
672 0x54,0x54,0x54,0x54,0x54,0x0,0x0,0x0,
673 0x0,0x0,0x54,0x0,0x54,0x54,0x54,0x54,
674 0x54,0x54,0x54,0x54,0x54,0x0,0x54,0x0,
675 0x0,0x54,0x54,0x54,0x54,0x54,0x54,0x54,
676 0x54,0x54,0x54,0x54,0x54,0x80,0x0,0x54,
677 0x0,0x0,0x0,0x54,0x54,0x14,0x54,0x54,
678 0x54,0x54,0x54,0x54,0x54,0x54,0x54,0x54,
679 0x54,0x80,0x54,0x54,0x0,0x0,0x54,0x54,
680 0x54,0x54,0x54,0x0,0x0,0x0,0x0,0x0,
681 0x0,0x54,0x54,0x0,0x0,0x54,0x0,0x0,
682 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
683 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
684 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
685 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
686 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
687 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
688 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
689 0x0,0x0,0x0};
690 SetWordType zzerr40[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
691 0x0,0x0,0x0,0x0, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x0,0x0,
692 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
693 SetWordType zzerr41[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
694 0x0,0x0,0x0,0x10, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x2,0x0,
695 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
696 SetWordType zzerr42[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0x0,0x0, 0x0,0x0,0x0,0x0,
697 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
698 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
699 SetWordType zzerr43[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
700 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
701 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
702 SetWordType setwd11[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
703 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
704 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
705 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
706 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
707 0x0,0x0,0x2,0x0,0x1b,0x0,0x63,0x0,
708 0x0,0x40,0x40,0x63,0x40,0x0,0x0,0xfb,
709 0xfb,0xfb,0x0,0x0,0x0,0xfb,0x0,0x40,
710 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
711 0x40,0x40,0x40,0x0,0x40,0x40,0x0,0x0,
712 0x40,0x0,0x0,0x40,0x0,0x0,0x0,0x0,
713 0x40,0x40,0x40,0x40,0x40,0x40,0x0,0x0,
714 0x40,0x40,0x40,0x40,0x40,0x40,0x0,0x0,
715 0x0,0x0,0x40,0x0,0x40,0x40,0x40,0x40,
716 0x40,0x40,0x40,0x40,0x40,0x0,0x40,0x0,
717 0x0,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
718 0x40,0x40,0x40,0x40,0x40,0x3,0x0,0x40,
719 0x0,0x0,0x0,0x44,0x44,0x40,0x40,0x40,
720 0x40,0x40,0x40,0x44,0x44,0x44,0x44,0x44,
721 0x44,0x3,0x40,0x44,0x0,0x0,0x40,0x44,
722 0x44,0x40,0x40,0x0,0x0,0x0,0x0,0x0,
723 0x0,0x40,0x40,0x0,0x0,0x40,0x0,0x0,
724 0x0,0x0,0x1a,0x0,0x0,0x0,0x0,0x0,
725 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
726 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
727 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
728 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
729 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
730 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
731 0x0,0x0,0x0};
732 SetWordType zzerr44[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
733 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
734 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
735 SetWordType zzerr45[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
736 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
737 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
738 SetWordType zzerr46[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
739 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
740 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
741 SetWordType zzerr47[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
742 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
743 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
744 SetWordType setwd12[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
745 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
746 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
747 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
748 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
749 0x0,0x0,0x0,0x0,0x0,0x0,0x14,0x0,
750 0x0,0x14,0x14,0x14,0x14,0x0,0x0,0xff,
751 0xff,0xbf,0x0,0x0,0x0,0xff,0x0,0x54,
752 0x54,0x54,0x14,0x54,0x54,0x54,0x14,0x14,
753 0x14,0x54,0x14,0x0,0x54,0x14,0x0,0x0,
754 0x14,0x0,0x0,0x54,0x0,0x0,0x0,0x0,
755 0x54,0x14,0x14,0x14,0x14,0x54,0x0,0x0,
756 0x14,0x54,0x54,0x54,0x54,0x14,0x0,0x0,
757 0x0,0x0,0x54,0x0,0x54,0x54,0x54,0x54,
758 0x54,0x54,0x54,0x54,0x54,0x0,0x54,0x0,
759 0x0,0x54,0x54,0x54,0x54,0x54,0x14,0x14,
760 0x54,0x54,0x54,0x54,0x54,0x0,0x0,0x54,
761 0x0,0x0,0x0,0x54,0x54,0x0,0x54,0x54,
762 0x54,0x54,0x54,0x54,0x54,0x54,0x54,0x54,
763 0x54,0x0,0x14,0x54,0x0,0x0,0x14,0x54,
764 0x54,0x54,0x54,0x0,0x0,0x0,0x0,0x0,
765 0x0,0x54,0x54,0x0,0x0,0x54,0x0,0x0,
766 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
767 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
768 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
769 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
770 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
771 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
772 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
773 0x0,0x0,0x0};
774 SetWordType zzerr48[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc0,0x11, 0x0,0x0,0x0,0x0,
775 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
776 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
777 SetWordType zzerr49[32] = {0x0,0x4,0x0,0x0, 0x0,0xc8,0x2,0x0, 0x0,0x0,0x0,0x0,
778 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
779 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
780 SetWordType zzerr50[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x1,0x0,0x0,0x0,
781 0x0,0x0,0x0,0x0, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x0,0x0,
782 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
783 SetWordType setwd13[234] = {0x0,0x0,0x40,0x40,0x40,0x40,0x40,
784 0x40,0x40,0x40,0x40,0x0,0x40,0x40,0x40,
785 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x0,
786 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
787 0x0,0x0,0x0,0x0,0x0,0x40,0x0,0x0,
788 0x0,0x0,0x40,0x0,0x50,0x0,0x63,0x40,
789 0x50,0x3,0x11,0x3,0x3,0x0,0x0,0xeb,
790 0xeb,0x6b,0x0,0x0,0x0,0xeb,0x0,0x83,
791 0x83,0x83,0x3,0x83,0x83,0x83,0x3,0x3,
792 0x3,0x83,0x3,0x0,0x83,0x3,0x0,0x0,
793 0x3,0x0,0x0,0x83,0x0,0x0,0x0,0x0,
794 0x83,0x3,0x3,0x3,0x3,0x83,0x0,0x0,
795 0x3,0x83,0x83,0x83,0x83,0x3,0x0,0x0,
796 0x0,0x0,0x83,0x0,0x83,0x83,0x83,0x83,
797 0x83,0x83,0x83,0x83,0x83,0x0,0x83,0x0,
798 0x0,0x83,0x83,0x83,0x83,0x83,0x3,0x3,
799 0x83,0x83,0x83,0x83,0x83,0x0,0x0,0x83,
800 0x0,0x0,0x0,0x87,0x87,0x0,0x83,0x83,
801 0x83,0x83,0x83,0x87,0x87,0x87,0x87,0x87,
802 0x87,0x0,0x3,0x87,0x0,0x0,0x3,0x87,
803 0x87,0x83,0x83,0x0,0x0,0x0,0x0,0x0,
804 0x0,0x83,0x83,0x0,0x0,0x83,0x0,0x0,
805 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
806 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
807 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
808 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
809 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
810 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
811 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
812 0x0,0x0,0x0};
813 SetWordType zzerr51[32] = {0x0,0x0,0x0,0x0, 0x0,0x22,0xc4,0x11, 0x0,0x0,0x0,0x0,
814 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
815 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
816 SetWordType zzerr52[32] = {0x0,0x0,0x0,0x0, 0x0,0x28,0xc4,0x11, 0x0,0x0,0x0,0x0,
817 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
818 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
819 SetWordType zzerr53[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc4,0x11, 0x0,0x0,0x0,0x0,
820 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
821 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
822 SetWordType setwd14[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
823 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
824 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
825 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
826 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
827 0x0,0x0,0x0,0x0,0x4,0x0,0x7b,0x0,
828 0x0,0x0,0x0,0x1b,0x0,0x0,0x0,0xfb,
829 0xfb,0x7b,0x0,0x0,0x0,0xfb,0x0,0x80,
587 0x0,0x1a,0x7,0x0,0x0,0x0,0x0,0x0,
588 0x0,0x0,0x20,0x0,0x0,0x0,0x0,0x80,
589 0x80,0x0,0x6,0x0,0x7,0x80,0x6,0x80,
830590 0x80,0x80,0x0,0x80,0x80,0x80,0x0,0x0,
831591 0x0,0x80,0x0,0x0,0x80,0x0,0x0,0x0,
832592 0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,
833593 0x80,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
834 0x0,0x80,0x80,0x80,0x80,0x0,0x0,0x0,
594 0x0,0x80,0xc0,0xc0,0xc0,0x0,0x0,0x0,
835595 0x0,0x0,0x80,0x0,0x80,0x80,0x80,0x80,
836 0x80,0x80,0x80,0x80,0x80,0x0,0x80,0x0,
837 0x0,0x80,0x80,0x80,0x80,0x80,0x0,0x0,
838 0x80,0x80,0x80,0x80,0x80,0x4,0x0,0x80,
839 0x0,0x0,0x0,0x80,0x80,0x0,0x80,0x80,
596 0x80,0x80,0xc0,0xc0,0x80,0x0,0x80,0x0,
597 0x0,0x80,0x80,0x80,0xc0,0xc0,0x0,0x0,
598 0x80,0x80,0x80,0x80,0x80,0x0,0x0,0x80,
599 0x0,0x0,0x0,0x80,0x80,0x0,0x80,0xc0,
840600 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
841 0x80,0x4,0x0,0x80,0x0,0x0,0x0,0x80,
601 0x80,0x0,0x0,0x80,0x0,0x0,0x0,0x80,
842602 0x80,0x80,0x80,0x0,0x0,0x0,0x0,0x0,
843 0x0,0x80,0x80,0x0,0x0,0x80,0x0,0x0,
844 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
845 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
846 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
847 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
848 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
849 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
850 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
851 0x0,0x0,0x0};
852 SetWordType zzerr54[32] = {0x0,0x0,0x0,0x0, 0x0,0x88,0x2,0x0, 0x0,0x0,0x0,0x0,
603 0x0,0x80,0x80,0x0,0x0,0xc0,0x0,0x0,
604 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
605 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
606 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
607 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
608 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
609 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
610 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
611 0x0,0x0,0x0};
612 SetWordType zzerr34[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x10, 0x0,0x0,0x0,0x0,
613 0x8,0x0,0x0,0x8, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
614 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
615 SetWordType zzerr35[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0x0,0x0, 0x0,0x0,0x0,0x0,
616 0x8,0x0,0x0,0x8, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
617 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
618 SetWordType zzerr36[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
619 0xe,0x0,0x6,0x18, 0x0,0x40,0x0,0x0, 0x0,0x10,0x0,0x0,
620 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
621 SetWordType zzerr37[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
622 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
623 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
624 SetWordType setwd9[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
625 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
626 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
627 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
628 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
629 0x0,0x0,0x0,0x0,0x1,0x0,0xa0,0x0,
630 0x0,0xa0,0xa0,0xa0,0xa0,0x0,0x0,0xfa,
631 0xfa,0xe0,0x0,0x0,0x0,0xfa,0x0,0x88,
632 0x88,0xa8,0xa0,0x88,0x88,0x88,0xa0,0xa0,
633 0xa0,0x88,0xa0,0x0,0x88,0xa0,0x0,0x0,
634 0x80,0x0,0x0,0x88,0x0,0x0,0x0,0x0,
635 0x88,0xa0,0xa0,0xa0,0xa0,0x88,0x0,0x0,
636 0xa0,0x88,0xac,0xac,0xad,0x80,0x0,0x0,
637 0x0,0x0,0x88,0x0,0x88,0x88,0x88,0x88,
638 0x88,0x88,0xac,0xac,0x88,0x0,0x88,0x0,
639 0x0,0x88,0x88,0x88,0xad,0xac,0xa0,0xa0,
640 0x88,0x88,0x88,0x88,0x88,0x0,0x0,0x88,
641 0x0,0x0,0x0,0x88,0x88,0x0,0x88,0xac,
642 0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,
643 0x88,0x0,0xa0,0x88,0x0,0x0,0xa0,0x88,
644 0x88,0x88,0x88,0x0,0x0,0x0,0x0,0x0,
645 0x0,0x88,0x88,0x0,0x0,0xac,0x0,0x0,
646 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
647 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
648 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
649 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
650 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
651 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
652 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
653 0x0,0x0,0x0};
654 SetWordType zzerr38[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
655 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
656 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
657 SetWordType zzerr39[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
658 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
659 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
660 SetWordType zzerr40[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
661 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
662 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
663 SetWordType setwd10[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
664 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
665 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
666 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
667 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
668 0x0,0x0,0x0,0x4,0x0,0x0,0xa2,0x0,
669 0x4,0xa2,0xae,0xa2,0xa2,0x0,0x0,0xf3,
670 0xf3,0xf3,0x0,0x0,0x0,0xf3,0x0,0xa2,
671 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,
672 0xa2,0xa2,0xa2,0x0,0xa2,0xa2,0x0,0x0,
673 0x2,0x0,0x0,0xa2,0x0,0x0,0x0,0x0,
674 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0x0,
675 0xa2,0xa2,0xa2,0xa2,0xa2,0x2,0x0,0x0,
676 0x0,0x0,0xa2,0x0,0xa2,0xa2,0xa2,0xa2,
677 0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0xa2,0x0,
678 0x0,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,
679 0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0x0,0xa2,
680 0x0,0x0,0x0,0xa2,0xa2,0xa0,0xa2,0xa2,
681 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,
682 0xa2,0x0,0xa2,0xa2,0x0,0x0,0xa2,0xa2,
683 0xa2,0xa2,0xa2,0x0,0x0,0x0,0x0,0x0,
684 0x0,0xa2,0xa2,0x0,0x0,0xa2,0x0,0x0,
685 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
686 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
687 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
688 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
689 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
690 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
691 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
692 0x0,0x0,0x0};
693 SetWordType zzerr41[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
694 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
695 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
696 SetWordType zzerr42[32] = {0x0,0x0,0x0,0x0, 0x0,0x2a,0xc4,0x11, 0x0,0x0,0x0,0x0,
697 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
698 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
699 SetWordType zzerr43[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
700 0x0,0x0,0x0,0x0, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x0,0x0,
701 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
702 SetWordType zzerr44[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
703 0x0,0x0,0x0,0x10, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x2,0x0,
704 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
705 SetWordType zzerr45[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0x0,0x0, 0x0,0x0,0x0,0x0,
706 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
707 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
708 SetWordType setwd11[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
709 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
710 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
711 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
712 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
713 0x0,0x0,0x10,0x0,0xdc,0x0,0x1e,0x0,
714 0x0,0x2,0x2,0x1e,0x2,0x0,0x0,0xdf,
715 0xdf,0xdf,0x0,0x0,0x0,0xdf,0x0,0x2,
716 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
717 0x2,0x2,0x2,0x0,0x2,0x2,0x0,0x0,
718 0x0,0x0,0x0,0x2,0x0,0x0,0x0,0x0,
719 0x2,0x2,0x2,0x2,0x2,0x2,0x0,0x0,
720 0x2,0x2,0x2,0x2,0x2,0x0,0x0,0x0,
721 0x0,0x0,0x2,0x0,0x2,0x2,0x2,0x2,
722 0x2,0x2,0x2,0x2,0x2,0x0,0x2,0x0,
723 0x0,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
724 0x2,0x2,0x2,0x2,0x2,0x1c,0x0,0x2,
725 0x0,0x0,0x0,0x22,0x22,0x0,0x2,0x2,
726 0x2,0x2,0x2,0x22,0x22,0x22,0x22,0x22,
727 0x22,0x1c,0x2,0x22,0x0,0x0,0x2,0x22,
728 0x22,0x2,0x2,0x0,0x0,0x0,0x0,0x0,
729 0x0,0x2,0x2,0x0,0x0,0x2,0x0,0x0,
730 0x0,0x0,0xd0,0x0,0x0,0x0,0x0,0x0,
731 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
732 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
733 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
734 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
735 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
736 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
737 0x0,0x0,0x0};
738 SetWordType zzerr46[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
739 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
740 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
741 SetWordType zzerr47[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
742 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
743 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
744 SetWordType zzerr48[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
745 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
746 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
747 SetWordType setwd12[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
748 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
749 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
750 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
751 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
752 0x0,0x0,0x0,0x0,0x0,0x0,0xa3,0x0,
753 0x0,0xa2,0xa2,0xa3,0xa2,0x0,0x0,0xff,
754 0xff,0xff,0x0,0x0,0x0,0xff,0x0,0xa2,
755 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,
756 0xa2,0xa2,0xa2,0x0,0xa2,0xa2,0x0,0x0,
757 0xa2,0x0,0x0,0xa2,0x0,0x0,0x0,0x0,
758 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0x0,
759 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0x0,
760 0x0,0x0,0xa2,0x0,0xa2,0xa2,0xa2,0xa2,
761 0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0xa2,0x0,
762 0x0,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,
763 0xa2,0xa2,0xa2,0xa2,0xa2,0x0,0x0,0xa2,
764 0x0,0x0,0x0,0xa2,0xa2,0x2,0xa2,0xa2,
765 0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,0xa2,
766 0xa2,0x0,0xa2,0xa2,0x0,0x0,0xa2,0xa2,
767 0xa2,0xa2,0xa2,0x0,0x0,0x0,0x0,0x0,
768 0x0,0xa2,0xa2,0x0,0x0,0xa2,0x0,0x0,
769 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
770 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
771 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
772 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
773 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
774 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
775 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
776 0x0,0x0,0x0};
777 SetWordType zzerr49[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
778 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
779 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
780 SetWordType zzerr50[32] = {0x0,0x0,0x0,0x0, 0x0,0x8,0xc0,0x11, 0x0,0x0,0x0,0x0,
781 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
782 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
783 SetWordType setwd13[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
784 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
785 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
786 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
787 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
788 0x0,0x0,0x0,0x0,0x80,0x0,0x18,0x0,
789 0x80,0x18,0x88,0x18,0x18,0x0,0x0,0x5f,
790 0x5f,0x5d,0x0,0x0,0x0,0x5f,0x0,0x1a,
791 0x1a,0x1a,0x18,0x1a,0x1a,0x1a,0x18,0x18,
792 0x18,0x1a,0x18,0x0,0x1a,0x18,0x0,0x0,
793 0x18,0x0,0x0,0x1a,0x0,0x0,0x0,0x0,
794 0x1a,0x18,0x18,0x18,0x18,0x1a,0x0,0x0,
795 0x18,0x1a,0x1a,0x1a,0x1a,0x18,0x0,0x0,
796 0x0,0x0,0x1a,0x0,0x1a,0x1a,0x1a,0x1a,
797 0x1a,0x1a,0x1a,0x1a,0x1a,0x0,0x1a,0x0,
798 0x0,0x1a,0x1a,0x1a,0x1a,0x1a,0x18,0x18,
799 0x1a,0x1a,0x1a,0x1a,0x1a,0x0,0x0,0x1a,
800 0x0,0x0,0x0,0x3a,0x3a,0x0,0x1a,0x1a,
801 0x1a,0x1a,0x1a,0x3a,0x3a,0x3a,0x3a,0x3a,
802 0x3a,0x0,0x18,0x3a,0x0,0x0,0x18,0x3a,
803 0x3a,0x1a,0x1a,0x0,0x0,0x0,0x0,0x0,
804 0x0,0x1a,0x1a,0x0,0x0,0x1a,0x0,0x0,
805 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
806 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
807 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
808 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
809 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
810 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
811 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
812 0x0,0x0,0x0};
813 SetWordType zzerr51[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc0,0x11, 0x0,0x0,0x0,0x0,
814 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
815 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
816 SetWordType zzerr52[32] = {0x0,0x4,0x0,0x0, 0x0,0xc8,0x2,0x0, 0x0,0x0,0x0,0x0,
817 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
818 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
819 SetWordType zzerr53[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x1,0x0,0x0,0x0,
820 0x0,0x0,0x0,0x0, 0x0,0xc,0xfc,0xc4, 0x0,0x0,0x0,0x0,
821 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
822 SetWordType zzerr54[32] = {0x0,0x0,0x0,0x0, 0x0,0x22,0xc4,0x11, 0x0,0x0,0x0,0x0,
823 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
824 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
825 SetWordType zzerr55[32] = {0x0,0x0,0x0,0x0, 0x0,0x28,0xc4,0x11, 0x0,0x0,0x0,0x0,
826 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x0,0x0,
827 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
828 SetWordType setwd14[234] = {0x0,0x0,0x2,0x2,0x2,0x2,0x2,
829 0x2,0x2,0x2,0x2,0x0,0x2,0x2,0x2,
830 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x0,
831 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
832 0x0,0x0,0x0,0x0,0x0,0x2,0x0,0x0,
833 0x0,0x0,0x2,0x0,0x22,0x0,0xdb,0x2,
834 0x2,0x0,0x0,0xd8,0x0,0x0,0x0,0xdf,
835 0xdf,0xdb,0x0,0x0,0x0,0xdf,0x0,0x4,
836 0x4,0x4,0x0,0x4,0x4,0x4,0x0,0x0,
837 0x0,0x4,0x0,0x0,0x4,0x0,0x0,0x0,
838 0x0,0x0,0x0,0x4,0x0,0x0,0x0,0x0,
839 0x4,0x0,0x0,0x0,0x0,0x4,0x0,0x0,
840 0x0,0x4,0x4,0x4,0x4,0x0,0x0,0x0,
841 0x0,0x0,0x4,0x0,0x4,0x4,0x4,0x4,
842 0x4,0x4,0x4,0x4,0x4,0x0,0x4,0x0,
843 0x0,0x4,0x4,0x4,0x4,0x4,0x0,0x0,
844 0x4,0x4,0x4,0x4,0x4,0x20,0x0,0x4,
845 0x0,0x0,0x0,0x4,0x4,0x0,0x4,0x4,
846 0x4,0x4,0x4,0x4,0x4,0x4,0x4,0x4,
847 0x4,0x20,0x0,0x4,0x0,0x0,0x0,0x4,
848 0x4,0x4,0x4,0x0,0x0,0x0,0x0,0x0,
849 0x0,0x4,0x4,0x0,0x0,0x4,0x0,0x0,
850 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
851 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
852 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
853 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
854 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
855 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
856 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
857 0x0,0x0,0x0};
858 SetWordType zzerr56[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc4,0x11, 0x0,0x0,0x0,0x0,
859 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
860 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
861 SetWordType zzerr57[32] = {0x0,0x0,0x0,0x0, 0x0,0x88,0x2,0x0, 0x0,0x0,0x0,0x0,
853862 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
854863 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
855864 SetWordType setwd15[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
856 0x0,0x0,0x0,0x8,0x0,0x0,0x0,0x0,
857 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
858 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
859 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
860 0x0,0x0,0x0,0x8,0x8,0x0,0x0,0x0,
861 0x4e,0x0,0x5f,0x0,0x0,0x0,0x0,0xa0,
862 0xa0,0xa0,0x0,0x0,0x0,0xa0,0x0,0x0,
863 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
864 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
865 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
866 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
867 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
868 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
869 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
870 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
871 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
872 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
873 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
874 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
875 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
876 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
877 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
878 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
879 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
880 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
881 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
882 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
883 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
884 0x0,0x0,0x0};
885 SetWordType zzerr55[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
886 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
887 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
888 SetWordType zzerr56[32] = {0x0,0x4,0x0,0x0, 0x0,0x88,0x2,0x0, 0x0,0x0,0x0,0x0,
889 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
890 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
891 SetWordType zzerr57[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x60,
865 0x0,0x0,0x0,0x40,0x0,0x0,0x0,0x0,
866 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
867 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
868 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
869 0x0,0x0,0x0,0x40,0x40,0x0,0x3,0x0,
870 0x70,0x0,0xf8,0x0,0x0,0x0,0x0,0x7,
871 0x7,0x3,0x0,0x0,0x0,0x7,0x0,0x4,
872 0x4,0x4,0x0,0x4,0x4,0x4,0x0,0x0,
873 0x0,0x4,0x0,0x0,0x4,0x0,0x0,0x0,
874 0x0,0x0,0x0,0x4,0x0,0x0,0x0,0x0,
875 0x4,0x0,0x0,0x0,0x0,0x4,0x0,0x0,
876 0x0,0x4,0x4,0x4,0x4,0x0,0x0,0x0,
877 0x0,0x0,0x4,0x0,0x4,0x4,0x4,0x4,
878 0x4,0x4,0x4,0x4,0x4,0x0,0x4,0x0,
879 0x0,0x4,0x4,0x4,0x4,0x4,0x0,0x0,
880 0x4,0x4,0x4,0x4,0x4,0x0,0x0,0x4,
881 0x0,0x0,0x0,0x4,0x4,0x0,0x4,0x4,
882 0x4,0x4,0x4,0x4,0x4,0x4,0x4,0x4,
883 0x4,0x0,0x0,0x4,0x0,0x0,0x0,0x4,
884 0x4,0x4,0x4,0x0,0x0,0x0,0x0,0x0,
885 0x0,0x4,0x4,0x0,0x0,0x4,0x0,0x0,
886 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
887 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
888 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
889 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
890 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
891 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
892 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
893 0x0,0x0,0x0};
894 SetWordType zzerr58[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
895 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
896 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
897 SetWordType zzerr59[32] = {0x0,0x4,0x0,0x0, 0x0,0x88,0x2,0x0, 0x0,0x0,0x0,0x0,
898 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
899 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
900 SetWordType setwd16[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
901 0x0,0x0,0x0,0x20,0x0,0x0,0x0,0x0,
902 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
903 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
904 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
905 0x0,0x0,0x0,0x0,0x40,0x0,0x0,0x0,
906 0xb2,0x0,0xb2,0x0,0x0,0x0,0x0,0xd,
907 0xd,0xd,0x0,0x0,0x0,0xd,0x0,0x0,
908 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
909 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
910 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
911 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
912 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
913 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
914 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
915 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
916 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
917 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
918 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
919 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
920 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
921 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
922 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
923 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
924 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
925 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
926 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
927 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
928 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
929 0x0,0x0,0x0};
930 SetWordType zzerr60[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x60,
892931 0xa0,0x0,0xc0,0x0, 0x20,0xf,0x0,0x18, 0x0,0x0,0x0,0x0,
893932 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
894 SetWordType setwd16[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
895 0x0,0x0,0x0,0x4,0x0,0x0,0x0,0x0,
896 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
897 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
898 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
899 0x0,0x0,0x0,0x0,0x88,0x0,0x80,0x0,
900 0x16,0x0,0x16,0x80,0x0,0x0,0x0,0x81,
901 0x81,0x81,0x0,0x0,0x0,0x81,0x0,0x0,
902 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
903 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
904 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
905 0x0,0x0,0x0,0x0,0x0,0x0,0x20,0x40,
906 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
907 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
908 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20,
909 0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
910 0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
911 0x0,0x20,0x40,0x20,0x40,0x0,0x0,0x0,
912 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
913 0x0,0x80,0x0,0x0,0x20,0x40,0x0,0x0,
914 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
915 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
916 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
917 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
918 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
919 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
920 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
921 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
922 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
923 0x0,0x0,0x0};
924 SetWordType zzerr58[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x60,
933 SetWordType zzerr61[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x60,
925934 0x0,0x0,0xc0,0x0, 0x0,0xf,0x0,0x18, 0x0,0x0,0x0,0x0,
926935 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
927 SetWordType zzerr59[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x20,
936 SetWordType zzerr62[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x20,
928937 0x0,0x0,0x40,0x0, 0x0,0x5,0x0,0x8, 0x0,0x0,0x0,0x0,
929938 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
930 SetWordType zzerr60[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x40,
939 SetWordType zzerr63[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x40,
931940 0x0,0x0,0x80,0x0, 0x0,0xa,0x0,0x10, 0x0,0x0,0x0,0x0,
932941 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
933 SetWordType zzerr61[32] = {0x0,0x0,0x0,0x0, 0x0,0x2a,0xc4,0x11, 0x0,0x0,0x0,0x0,
942 SetWordType setwd17[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
943 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
944 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
945 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
946 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
947 0x0,0x0,0x20,0xc0,0x4,0x0,0x24,0x0,
948 0xc0,0x0,0x0,0x24,0x0,0x0,0x0,0x24,
949 0x24,0x24,0x0,0x0,0x0,0x24,0x0,0x0,
950 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
951 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
952 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
953 0x0,0x0,0x0,0x0,0x0,0x0,0x9,0x12,
954 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
955 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
956 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x9,
957 0x12,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
958 0x0,0x0,0x0,0x0,0x0,0x4,0x0,0x0,
959 0x0,0x9,0x12,0x9,0x12,0x0,0x0,0x0,
960 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
961 0x0,0x4,0x0,0x0,0x9,0x12,0x0,0x0,
962 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
963 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
964 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
965 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
966 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
967 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
968 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
969 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
970 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
971 0x0,0x0,0x0};
972 SetWordType zzerr64[32] = {0x0,0x0,0x0,0x0, 0x0,0x2a,0xc4,0x11, 0x0,0x0,0x0,0x0,
934973 0x0,0x0,0x0,0x0, 0x10,0x0,0x0,0x1, 0x0,0x0,0x2,0x0,
935974 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
936 SetWordType setwd17[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
937 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
938 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
939 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
940 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
941 0x0,0x0,0xe4,0x18,0x60,0x0,0xe4,0x0,
942 0x98,0x0,0x80,0xe4,0x0,0x0,0x0,0xe4,
943 0xe4,0xe4,0x0,0x0,0x0,0xe4,0x0,0x0,
944 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
945 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
946 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
947 0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x2,
948 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
949 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
950 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,
951 0x2,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
952 0x0,0x0,0x0,0x0,0x0,0x60,0x0,0x0,
953 0x0,0x1,0x2,0x1,0x2,0x0,0x0,0x0,
954 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
955 0x0,0x60,0x0,0x0,0x1,0x2,0x0,0x0,
956 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
957 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
958 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
959 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
960 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
961 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
962 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
963 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
964 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
965 0x0,0x0,0x0};
966 SetWordType zzerr62[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x80, 0x1c,0x1,0x0,0x0,
975 SetWordType zzerr65[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x80, 0x1c,0x1,0x0,0x0,
967976 0x0,0xfa,0x9,0x87, 0xf,0x80,0x3,0x0, 0x3,0x0,0x0,0x0,
968977 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
969 SetWordType zzerr63[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
970 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
971 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
972 SetWordType zzerr64[32] = {0x0,0x0,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x60,
978 SetWordType setwd18[234] = {0x0,0x0,0x0,0x0,0x0,0x0,0x0,
979 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
980 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
981 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
982 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
983 0x0,0x0,0xa7,0x4,0x3,0x0,0x7,0x0,
984 0x4,0x0,0xc,0xa7,0x0,0x0,0x0,0xb7,
985 0xb7,0x7,0x0,0x0,0x0,0xb7,0x0,0x10,
986 0x10,0x10,0x0,0x10,0x10,0x10,0x0,0x0,
987 0x0,0x10,0x0,0x0,0x10,0x0,0x0,0x0,
988 0x0,0x0,0x0,0x10,0x0,0x0,0x0,0x0,
989 0x10,0x0,0x0,0x0,0x0,0x10,0x40,0x40,
990 0x0,0x10,0x10,0x10,0x10,0x0,0x0,0x0,
991 0x0,0x0,0x10,0x0,0x10,0x10,0x10,0x10,
992 0x10,0x10,0x10,0x10,0x10,0x0,0x10,0x40,
993 0x40,0x10,0x10,0x10,0x10,0x10,0x0,0x0,
994 0x10,0x10,0x10,0x10,0x10,0x3,0x0,0x10,
995 0x0,0x40,0x40,0x50,0x50,0x0,0x10,0x10,
996 0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,
997 0x10,0x3,0x0,0x10,0x40,0x40,0x0,0x10,
998 0x10,0x10,0x10,0x0,0x0,0x0,0x0,0x0,
999 0x0,0x10,0x10,0x0,0x0,0x10,0x0,0x0,
1000 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1001 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1002 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1003 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1004 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1005 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1006 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1007 0x0,0x0,0x0};
1008 SetWordType zzerr66[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
1009 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1010 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1011 SetWordType zzerr67[32] = {0x0,0x0,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x60,
9731012 0x0,0x0,0xc0,0x0, 0x0,0xf,0x0,0x18, 0x0,0x0,0x40,0x0,
9741013 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
975 SetWordType zzerr65[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
976 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
977 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
978 SetWordType setwd18[234] = {0x0,0x0,0x20,0x20,0x20,0x20,0x20,
979 0x20,0x20,0x20,0x0,0x0,0x20,0x20,0x20,
980 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x0,
981 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
982 0x0,0x0,0x0,0x0,0x0,0x20,0x0,0x0,
983 0x0,0x0,0xf4,0x20,0x20,0x0,0x20,0x0,
984 0x20,0x0,0x1,0x54,0x0,0x0,0x0,0xf6,
985 0xf6,0x20,0x0,0x0,0x0,0xf6,0x0,0x2,
986 0x2,0x2,0x0,0x2,0x2,0x2,0x0,0x0,
987 0x0,0x2,0x0,0x0,0x2,0x0,0x0,0x0,
988 0x0,0x0,0x0,0x2,0x0,0x0,0x0,0x0,
989 0x2,0x0,0x0,0x0,0x0,0x2,0x8,0x8,
990 0x0,0x2,0x2,0x2,0x2,0x0,0x0,0x0,
991 0x0,0x0,0x2,0x0,0x2,0x2,0x2,0x2,
992 0x2,0x2,0x2,0x2,0x2,0x0,0x2,0x8,
993 0x8,0x2,0x2,0x2,0x2,0x2,0x0,0x0,
994 0x2,0x2,0x2,0x2,0x2,0x0,0x0,0x2,
995 0x0,0x8,0x8,0xa,0xa,0x0,0x2,0x2,
996 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
997 0x2,0x0,0x0,0x2,0x8,0x8,0x0,0x2,
998 0x2,0x2,0x2,0x0,0x0,0x0,0x0,0x0,
999 0x0,0x2,0x2,0x0,0x0,0x2,0x0,0x0,
1000 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1001 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1002 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1003 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1004 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1005 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1006 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1007 0x0,0x0,0x0};
1008 SetWordType zzerr66[32] = {0x0,0x0,0x0,0x0, 0x0,0xa,0x0,0x0, 0x0,0x0,0x0,0x0,
1009 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1010 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1011 SetWordType zzerr67[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
1012 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1013 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1014 SetWordType zzerr68[32] = {0x0,0x0,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
1014 SetWordType zzerr68[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
1015 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1016 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1017 SetWordType zzerr69[32] = {0x0,0x0,0x0,0x0, 0x0,0xa,0x0,0x0, 0x0,0x0,0x0,0x0,
1018 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1019 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1020 SetWordType zzerr70[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
1021 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1022 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1023 SetWordType setwd19[234] = {0x0,0x0,0x1,0x1,0x1,0x1,0x1,
1024 0x1,0x1,0x1,0x0,0x0,0x1,0x1,0x1,
1025 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x0,
1026 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1027 0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,
1028 0x0,0x0,0x9f,0x1,0x1,0x0,0x1,0x0,
1029 0x21,0x0,0x20,0x2,0x0,0x0,0x0,0x4f,
1030 0x4f,0x1,0x0,0x0,0x0,0x4f,0x0,0x0,
1031 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1032 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1033 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1034 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1035 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1036 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1037 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1038 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1039 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1040 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1041 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1042 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1043 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1044 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1045 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1046 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1047 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1048 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1049 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1050 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1051 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1052 0x0,0x0,0x0};
1053 SetWordType zzerr71[32] = {0x0,0x0,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
10151054 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
10161055 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1017 SetWordType setwd19[234] = {0x0,0x0,0x20,0x20,0x20,0x20,0x20,
1018 0x20,0x20,0x20,0x0,0x0,0x20,0x20,0x20,
1019 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x0,
1020 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1021 0x0,0x0,0x0,0x0,0x0,0x20,0x0,0x0,
1022 0x0,0x0,0x33,0xc0,0x0,0x0,0x20,0x0,
1023 0xc4,0x0,0x4,0x0,0x0,0x0,0x0,0x29,
1024 0x29,0x20,0x0,0x0,0x0,0x29,0x0,0x0,
1025 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1026 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1027 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1028 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1029 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1030 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1031 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1032 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1033 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1034 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1035 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1036 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1037 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1038 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1039 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1040 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1041 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1042 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1043 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1044 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1045 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1046 0x0,0x0,0x0};
1047 SetWordType zzerr69[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
1048 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1049 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1050 SetWordType setwd20[234] = {0x0,0x1,0x80,0x80,0x80,0x80,0x80,
1051 0x80,0x80,0x0,0x0,0x0,0x0,0x0,0x0,
1052 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1053 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1054 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1055 0x0,0x0,0x52,0x0,0x0,0x0,0x0,0x0,
1056 0x4,0x0,0x4,0x42,0x0,0x0,0x0,0x6a,
1057 0x6a,0x0,0x0,0x0,0x0,0x6a,0x0,0x20,
1058 0x20,0x20,0x0,0x20,0x20,0x20,0x0,0x0,
1059 0x0,0x20,0x0,0x0,0x20,0x0,0x0,0x0,
1060 0x0,0x0,0x0,0x20,0x0,0x0,0x0,0x0,
1061 0x20,0x0,0x0,0x0,0x0,0x20,0x0,0x0,
1062 0x0,0x20,0x20,0x20,0x20,0x0,0x0,0x0,
1063 0x0,0x0,0x20,0x0,0x20,0x20,0x20,0x20,
1064 0x20,0x20,0x20,0x20,0x20,0x0,0x20,0x0,
1065 0x0,0x20,0x20,0x20,0x20,0x20,0x0,0x0,
1066 0x20,0x20,0x20,0x20,0x20,0x0,0x0,0x20,
1067 0x0,0x0,0x0,0x20,0x20,0x0,0x20,0x20,
1068 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x20,
1069 0x20,0x0,0x0,0x20,0x0,0x0,0x0,0x20,
1070 0x20,0x20,0x20,0x0,0x0,0x0,0x0,0x0,
1071 0x0,0x20,0x20,0x0,0x0,0x20,0x0,0x0,
1072 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1073 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1074 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1075 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1076 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1077 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1078 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1079 0x0,0x0,0x0};
1080 SetWordType zzerr70[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
1081 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1082 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1083 SetWordType zzerr71[32] = {0x0,0x8,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x0,
1056 SetWordType zzerr72[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
1057 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1058 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1059 SetWordType setwd20[234] = {0x0,0x8,0x1,0x1,0x1,0x1,0x1,
1060 0x1,0x1,0x1,0x0,0x0,0x1,0x1,0x1,
1061 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x0,
1062 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1063 0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,
1064 0x0,0x0,0x91,0x6,0x0,0x0,0x1,0x0,
1065 0x26,0x0,0x20,0x10,0x0,0x0,0x0,0x51,
1066 0x51,0x1,0x0,0x0,0x0,0x51,0x0,0x0,
1067 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1068 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1069 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1070 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1071 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1072 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1073 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1074 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1075 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1076 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1077 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1078 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1079 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1080 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1081 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1082 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1083 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1084 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1085 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1086 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1087 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1088 0x0,0x0,0x0};
1089 SetWordType zzerr73[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc4,0x10, 0x0,0x0,0x0,0x0,
1090 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1091 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1092 SetWordType zzerr74[32] = {0x0,0x8,0x0,0x0, 0x0,0x8c,0x0,0x0, 0x0,0x0,0x0,0x0,
10841093 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
10851094 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1086 SetWordType zzerr72[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
1087 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1088 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1089 SetWordType zzerr73[32] = {0x0,0x0,0x0,0x0, 0x0,0xa,0x0,0x0, 0x0,0x0,0x0,0x0,
1090 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1091 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1092 SetWordType zzerr74[32] = {0x0,0x8,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
1095 SetWordType zzerr75[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0xc0,0x10, 0x0,0x0,0x0,0x0,
1096 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1097 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1098 SetWordType setwd21[234] = {0x0,0x0,0x4,0x4,0x4,0x4,0x4,
1099 0x4,0x4,0x0,0x0,0x0,0x0,0x0,0x0,
1100 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1101 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1102 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1103 0x0,0x0,0x9a,0x0,0x0,0x0,0x0,0x0,
1104 0x20,0x0,0x20,0x2,0x0,0x0,0x0,0x5b,
1105 0x5b,0x0,0x0,0x0,0x0,0x5b,0x0,0x1,
1106 0x1,0x1,0x0,0x1,0x1,0x1,0x0,0x0,
1107 0x0,0x1,0x0,0x0,0x1,0x0,0x0,0x0,
1108 0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,
1109 0x1,0x0,0x0,0x0,0x0,0x1,0x0,0x0,
1110 0x0,0x1,0x1,0x1,0x1,0x0,0x0,0x0,
1111 0x0,0x0,0x1,0x0,0x1,0x1,0x1,0x1,
1112 0x1,0x1,0x1,0x1,0x1,0x0,0x1,0x0,
1113 0x0,0x1,0x1,0x1,0x1,0x1,0x0,0x0,
1114 0x1,0x1,0x1,0x1,0x1,0x0,0x0,0x1,
1115 0x0,0x0,0x0,0x1,0x1,0x0,0x1,0x1,
1116 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1117 0x1,0x0,0x0,0x1,0x0,0x0,0x0,0x1,
1118 0x1,0x1,0x1,0x0,0x0,0x0,0x0,0x0,
1119 0x0,0x1,0x1,0x0,0x0,0x1,0x0,0x0,
1120 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1121 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1122 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1123 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1124 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1125 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1126 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1127 0x0,0x0,0x0};
1128 SetWordType zzerr76[32] = {0x0,0x0,0x0,0x0, 0x0,0xa,0x0,0x0, 0x0,0x0,0x0,0x0,
1129 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1130 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1131 SetWordType zzerr77[32] = {0x0,0x8,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
10931132 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
10941133 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1095 SetWordType setwd21[234] = {0x0,0x0,0x80,0x80,0x80,0x80,0x80,
1096 0x80,0x80,0x80,0x0,0x0,0x80,0x80,0x80,
1097 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x0,
1098 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1099 0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,
1100 0x0,0x0,0xf3,0x80,0x0,0x0,0x80,0x0,
1101 0x84,0x0,0x4,0x0,0x0,0x0,0x0,0x8b,
1102 0x8b,0x80,0x0,0x0,0x0,0x8b,0x0,0x0,
1103 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1104 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1105 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1106 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1107 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1108 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1109 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1110 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1111 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1112 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1113 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1114 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1115 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1116 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1117 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1118 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1119 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1120 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1121 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1122 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1123 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1124 0x0,0x0,0x0};
1125 SetWordType zzerr75[32] = {0x0,0x0,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
1134 SetWordType zzerr78[32] = {0x0,0x0,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
11261135 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
11271136 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1128 SetWordType zzerr76[32] = {0x0,0x0,0x0,0x0, 0x0,0x4,0x0,0x0, 0x0,0x0,0x0,0x0,
1137 SetWordType zzerr79[32] = {0x0,0x0,0x0,0x0, 0x0,0x4,0x0,0x0, 0x0,0x0,0x0,0x0,
11291138 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
11301139 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1131 SetWordType setwd22[234] = {0x0,0x0,0x12,0x12,0x12,0x12,0x12,
1132 0x12,0x12,0x12,0x0,0x0,0x12,0x12,0x12,
1133 0x12,0x12,0x12,0x12,0x12,0x12,0x12,0x0,
1134 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1135 0x0,0x0,0x0,0x0,0x0,0x12,0x0,0x0,
1136 0x0,0x0,0x12,0x2d,0x0,0x0,0x12,0x0,
1137 0x2c,0x0,0x0,0x0,0x0,0x0,0x0,0xd2,
1138 0xd2,0x12,0x0,0x0,0x0,0xd2,0x0,0xc0,
1139 0xc0,0xc0,0x0,0xc0,0xc0,0xc0,0x0,0x0,
1140 0x0,0xc0,0x0,0x0,0xc0,0x0,0x0,0x0,
1141 0x0,0x0,0x0,0xc0,0x0,0x0,0x0,0x0,
1142 0xc0,0x0,0x0,0x0,0x0,0xc0,0x0,0x0,
1143 0x0,0xc0,0xc0,0xc0,0xc0,0x0,0x0,0x0,
1144 0x0,0x0,0xc0,0x0,0xc0,0xc0,0xc0,0xc0,
1145 0xc0,0xc0,0xc0,0xc0,0xc0,0x0,0xc0,0x0,
1146 0x0,0xc0,0xc0,0xc0,0xc0,0xc0,0x0,0x0,
1147 0xc0,0xc0,0xc0,0xc0,0xc0,0x0,0x0,0xc0,
1148 0x0,0x0,0x0,0xc0,0xc0,0x0,0xc0,0xc0,
1149 0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,
1150 0xc0,0x0,0x0,0xc0,0x0,0x0,0x0,0xc0,
1151 0xc0,0xc0,0xc0,0x0,0x0,0x0,0x0,0x0,
1152 0x0,0xc0,0xc0,0x0,0x0,0xc0,0x0,0x0,
1153 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1154 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1155 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1156 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1157 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1158 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1159 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1160 0x0,0x0,0x0};
1161 SetWordType zzerr77[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xcf,0x11, 0xe3,0x12,0x0,0x8f,
1140 SetWordType setwd22[234] = {0x0,0x0,0x94,0x94,0x94,0x94,0x94,
1141 0x94,0x94,0x94,0x0,0x0,0x94,0x94,0x94,
1142 0x94,0x94,0x94,0x94,0x94,0x94,0x94,0x0,
1143 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1144 0x0,0x0,0x0,0x0,0x0,0x94,0x0,0x0,
1145 0x0,0x0,0x97,0x6c,0x0,0x0,0x94,0x0,
1146 0x64,0x0,0x0,0x0,0x0,0x0,0x0,0x94,
1147 0x94,0x94,0x0,0x0,0x0,0x94,0x0,0x0,
1148 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1149 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1150 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1151 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1152 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1153 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1154 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1155 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1156 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1157 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1158 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1159 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1160 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1161 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1162 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1163 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1164 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1165 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1166 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1167 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1168 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1169 0x0,0x0,0x0};
1170 SetWordType zzerr80[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xcf,0x11, 0xe3,0x12,0x0,0x8f,
11621171 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
11631172 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1164 SetWordType zzerr78[32] = {0x0,0x0,0x0,0x0, 0x0,0x81,0xd,0xc0, 0xff,0xdf,0xc7,0x9f,
1173 SetWordType setwd23[234] = {0x0,0x0,0x50,0x50,0x50,0x50,0x50,
1174 0x50,0x50,0x50,0x40,0x0,0x50,0x50,0x50,
1175 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x0,
1176 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1177 0x0,0x0,0x40,0x0,0x0,0x50,0x0,0x0,
1178 0x0,0x10,0x50,0x1,0x40,0x0,0xf8,0x40,
1179 0x51,0x98,0x80,0x98,0x98,0x0,0x0,0xfe,
1180 0xfe,0xf8,0x0,0x0,0x0,0xfe,0x0,0x16,
1181 0x16,0x9e,0x98,0x16,0x16,0x16,0x98,0x98,
1182 0x98,0x16,0x98,0x10,0x16,0x98,0x0,0x10,
1183 0x10,0x10,0x10,0x16,0x0,0x0,0x0,0x10,
1184 0x16,0x98,0x98,0x98,0x98,0x16,0x0,0x0,
1185 0x98,0x16,0x16,0x16,0x16,0x10,0x0,0x0,
1186 0x0,0x0,0x16,0x0,0x16,0x16,0x16,0x16,
1187 0x16,0x16,0x16,0x16,0x16,0x0,0x16,0x0,
1188 0x0,0x16,0x16,0x16,0x16,0x16,0x98,0x98,
1189 0x16,0x16,0x16,0x16,0x16,0x0,0x0,0x16,
1190 0x0,0x0,0x0,0x16,0x16,0x0,0x16,0x16,
1191 0x16,0x16,0x16,0x16,0x16,0x16,0x16,0x16,
1192 0x16,0x0,0x98,0x16,0x0,0x0,0x98,0x16,
1193 0x16,0x16,0x16,0x0,0x0,0x0,0x0,0x0,
1194 0x0,0x16,0x16,0x0,0x0,0x16,0x0,0x0,
1195 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1196 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1197 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1198 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1199 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1200 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1201 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1202 0x0,0x0,0x0};
1203 SetWordType zzerr81[32] = {0x0,0x0,0x0,0x0, 0x0,0x81,0xd,0xc0, 0xff,0xdf,0xc7,0x9f,
11651204 0x1f,0xfa,0x2f,0xff, 0x4f,0xec,0xff,0xe6, 0x3,0x13,0x40,0x0,
11661205 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1167 SetWordType zzerr79[32] = {0x2,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xff,0xff,
1206 SetWordType zzerr82[32] = {0x2,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xff,0xff,
11681207 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,
11691208 0xff,0xff,0xff,0xff, 0xff,0x3,0x0,0x0};
1170 SetWordType setwd23[234] = {0x0,0x40,0xea,0xea,0xea,0xea,0xea,
1171 0xea,0xea,0xea,0x48,0x40,0xea,0xea,0xea,
1172 0xea,0xea,0xea,0xea,0xea,0xea,0xea,0x40,
1173 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1174 0x40,0x40,0x48,0x40,0x40,0xea,0x40,0x40,
1175 0x40,0xe2,0xea,0x40,0x48,0x40,0xff,0x48,
1176 0xea,0x73,0x50,0x73,0x73,0x40,0x40,0xff,
1177 0xff,0xff,0x40,0x40,0x40,0xff,0x40,0x62,
1178 0x62,0x73,0x73,0x62,0x62,0x62,0x73,0x73,
1179 0x73,0x62,0x73,0xe2,0x62,0x73,0x40,0x62,
1180 0x62,0x62,0x62,0x62,0x40,0x40,0x40,0x62,
1181 0x62,0x73,0x73,0x73,0x73,0x62,0x40,0x40,
1182 0x73,0x62,0x62,0x62,0x62,0x62,0x40,0x40,
1183 0x40,0x40,0x62,0x40,0x62,0x62,0x62,0x62,
1184 0x62,0x62,0x62,0x62,0x62,0x40,0x62,0x40,
1185 0x40,0x62,0x62,0x62,0x62,0x62,0x73,0x73,
1186 0x62,0x62,0x62,0x62,0x62,0x40,0x40,0x62,
1187 0x40,0x40,0x40,0x62,0x62,0x40,0x62,0x62,
1188 0x62,0x62,0x62,0x62,0x62,0x62,0x62,0x62,
1189 0x62,0x40,0x73,0x62,0x40,0x40,0x73,0x62,
1190 0x62,0x62,0x62,0x40,0x40,0x40,0x40,0x40,
1191 0x40,0x62,0x62,0x40,0x40,0x62,0x40,0x40,
1192 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x0,
1193 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1194 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1195 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1196 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1197 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1198 0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
1199 0x40,0x40,0x40};
1200 SetWordType zzerr80[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xcd,0x11, 0xe3,0x12,0x0,0x8f,
1209 SetWordType zzerr83[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xcd,0x11, 0xe3,0x12,0x0,0x8f,
12011210 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
12021211 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1203 SetWordType zzerr81[32] = {0x0,0x4,0x40,0x0, 0x2,0xc9,0xf,0x0, 0xe3,0x92,0x0,0x8f,
1212 SetWordType zzerr84[32] = {0x0,0x4,0x40,0x0, 0x2,0xc9,0xf,0x0, 0xe3,0x92,0x0,0x8f,
12041213 0x10,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x40,0x0,
12051214 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1206 SetWordType zzerr82[32] = {0x0,0x4,0x0,0x0, 0x2,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1207 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1208 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1209 SetWordType setwd24[234] = {0x0,0x0,0x23,0x23,0x23,0x23,0x23,
1210 0x23,0x23,0x23,0x0,0x0,0x23,0x23,0x23,
1211 0x23,0x23,0x23,0x23,0x23,0x23,0x23,0x0,
1212 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1213 0x0,0x0,0x0,0x0,0x0,0x23,0x0,0x0,
1214 0x0,0x23,0x33,0x40,0x0,0x0,0x23,0x0,
1215 0x63,0x24,0xd0,0x24,0x20,0x0,0x0,0x2b,
1216 0x2b,0x2b,0x0,0x0,0x0,0x2b,0x0,0x20,
1217 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x20,
1218 0x20,0x20,0x20,0x23,0x20,0x20,0x0,0x20,
1219 0x20,0x20,0x20,0x20,0x0,0x0,0x0,0x20,
1220 0x20,0x20,0x20,0x20,0x20,0x20,0x0,0x0,
1221 0x20,0x20,0x20,0x20,0x20,0x20,0x0,0x0,
1222 0x0,0x0,0x20,0x0,0x20,0x20,0x20,0x20,
1223 0x20,0x20,0x20,0x20,0x20,0x0,0x20,0x0,
1224 0x0,0x20,0x20,0x20,0x20,0x20,0x20,0x20,
1225 0x20,0x20,0x20,0x20,0x20,0x0,0x0,0x20,
1226 0x0,0x0,0x0,0x20,0x20,0x0,0x20,0x20,
1227 0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x20,
1228 0x20,0x0,0x20,0x20,0x0,0x0,0x20,0x20,
1229 0x20,0x20,0x20,0x0,0x0,0x0,0x0,0x0,
1230 0x0,0x20,0x20,0x0,0x0,0x20,0x0,0x0,
1231 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1232 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1233 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1234 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1235 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1236 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1237 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1238 0x0,0x0,0x0};
1239 SetWordType zzerr83[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1215 SetWordType setwd24[234] = {0x0,0x2,0x1f,0x1f,0x1f,0x1f,0x1f,
1216 0x1f,0x1f,0x1f,0x2,0x2,0x1f,0x1f,0x1f,
1217 0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,0x2,
1218 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1219 0x2,0x2,0x2,0x2,0x2,0x1f,0x2,0x2,
1220 0x2,0x1f,0x9f,0x2,0x2,0x2,0x1f,0x2,
1221 0x1f,0x23,0x82,0x23,0x3,0x2,0x2,0x5f,
1222 0x5f,0x5f,0x2,0x2,0x2,0x5f,0x2,0x3,
1223 0x3,0x3,0x3,0x3,0x3,0x3,0x3,0x3,
1224 0x3,0x3,0x3,0x1f,0x3,0x3,0x2,0x3,
1225 0x3,0x3,0x3,0x3,0x2,0x2,0x2,0x3,
1226 0x3,0x3,0x3,0x3,0x3,0x3,0x2,0x2,
1227 0x3,0x3,0x3,0x3,0x3,0x3,0x2,0x2,
1228 0x2,0x2,0x3,0x2,0x3,0x3,0x3,0x3,
1229 0x3,0x3,0x3,0x3,0x3,0x2,0x3,0x2,
1230 0x2,0x3,0x3,0x3,0x3,0x3,0x3,0x3,
1231 0x3,0x3,0x3,0x3,0x3,0x2,0x2,0x3,
1232 0x2,0x2,0x2,0x3,0x3,0x2,0x3,0x3,
1233 0x3,0x3,0x3,0x3,0x3,0x3,0x3,0x3,
1234 0x3,0x2,0x3,0x3,0x2,0x2,0x3,0x3,
1235 0x3,0x3,0x3,0x2,0x2,0x2,0x2,0x2,
1236 0x2,0x3,0x3,0x2,0x2,0x3,0x2,0x2,
1237 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x0,
1238 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1239 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1240 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1241 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1242 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1243 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1244 0x2,0x2,0x2};
1245 SetWordType zzerr85[32] = {0x0,0x4,0x0,0x0, 0x2,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1246 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1247 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1248 SetWordType zzerr86[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
12401249 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
12411250 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1242 SetWordType zzerr84[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1251 SetWordType zzerr87[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
12431252 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
1244 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1245 SetWordType zzerr85[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1246 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1247 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1248 SetWordType zzerr86[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1249 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
12501253 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
12511254 SetWordType setwd25[234] = {0x0,0x0,0x49,0x49,0x49,0x49,0x49,
12521255 0x49,0x49,0x49,0x0,0x0,0x49,0x49,0x49,
12531256 0x49,0x49,0x49,0x49,0x49,0x49,0x49,0x0,
12541257 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
12551258 0x0,0x0,0x0,0x0,0x0,0x49,0x0,0x0,
1256 0x0,0x0,0x49,0x0,0x0,0x0,0xc9,0x0,
1257 0x0,0x92,0xa4,0x92,0x80,0x0,0x0,0xc9,
1258 0xc9,0xc9,0x0,0x0,0x0,0xc9,0x0,0x0,
1259 0x0,0x80,0x80,0x0,0x0,0x0,0x80,0x80,
1260 0x80,0x0,0x80,0x0,0x0,0x80,0x0,0x0,
1261 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1262 0x0,0x80,0x80,0x80,0x80,0x0,0x0,0x0,
1263 0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1264 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1265 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1266 0x0,0x0,0x0,0x0,0x0,0x0,0x80,0x92,
1267 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1268 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1269 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1270 0x0,0x0,0x80,0x0,0x0,0x0,0x80,0x0,
1271 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1272 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1273 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1274 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1275 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1276 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1277 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1278 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1279 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1280 0x0,0x0,0x0};
1281 SetWordType zzerr87[32] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcf,0x11, 0xe3,0x12,0x0,0x8f,
1259 0x0,0x1,0x49,0x2,0x0,0x0,0x49,0x0,
1260 0x3,0x91,0x26,0x91,0x1,0x0,0x0,0x49,
1261 0x49,0x49,0x0,0x0,0x0,0x49,0x0,0x1,
1262 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1263 0x1,0x1,0x1,0x1,0x1,0x1,0x0,0x1,
1264 0x1,0x1,0x1,0x1,0x0,0x0,0x0,0x1,
1265 0x1,0x1,0x1,0x1,0x1,0x1,0x0,0x0,
1266 0x1,0x1,0x1,0x1,0x1,0x1,0x0,0x0,
1267 0x0,0x0,0x1,0x0,0x1,0x1,0x1,0x1,
1268 0x1,0x1,0x1,0x1,0x1,0x0,0x1,0x0,
1269 0x0,0x1,0x1,0x1,0x1,0x1,0x1,0x91,
1270 0x1,0x1,0x1,0x1,0x1,0x0,0x0,0x1,
1271 0x0,0x0,0x0,0x1,0x1,0x0,0x1,0x1,
1272 0x1,0x1,0x1,0x1,0x1,0x1,0x1,0x1,
1273 0x1,0x0,0x1,0x1,0x0,0x0,0x1,0x1,
1274 0x1,0x1,0x1,0x0,0x0,0x0,0x0,0x0,
1275 0x0,0x1,0x1,0x0,0x0,0x1,0x0,0x0,
1276 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1277 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1278 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1279 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1280 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1281 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1282 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1283 0x0,0x0,0x0};
1284 SetWordType zzerr88[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1285 0x0,0x0,0x0,0x40, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1286 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1287 SetWordType zzerr89[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x5,0x0, 0x0,0x0,0x0,0x0,
1288 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1289 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1290 SetWordType zzerr90[32] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcf,0x11, 0xe3,0x12,0x0,0x8f,
12821291 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
12831292 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1284 SetWordType zzerr88[32] = {0x0,0x0,0x0,0x0, 0x0,0x81,0x0,0x0, 0x0,0x4,0x0,0x0,
1293 SetWordType zzerr91[32] = {0x0,0x0,0x0,0x0, 0x0,0x81,0x0,0x0, 0x0,0x4,0x0,0x0,
12851294 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
12861295 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1287 SetWordType zzerr89[32] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcd,0x11, 0xe3,0x92,0x0,0x8f,
1296 SetWordType setwd26[234] = {0x0,0x0,0x2a,0x2a,0x2a,0x2a,0x2a,
1297 0x2a,0x2a,0x2a,0x0,0x0,0x2a,0x2a,0x2a,
1298 0x2a,0x2a,0x2a,0x2a,0x2a,0x2a,0x2a,0x0,
1299 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1300 0x0,0x0,0x0,0x0,0x0,0x2a,0x0,0x0,
1301 0x0,0x28,0x2a,0x0,0x0,0x0,0xfe,0x0,
1302 0x28,0xd4,0x15,0xd4,0xd4,0x0,0x0,0xfe,
1303 0xfe,0xfe,0x0,0x0,0x0,0xfe,0x0,0x0,
1304 0x0,0xd4,0xd4,0x0,0x0,0x0,0xd4,0xd4,
1305 0xd4,0x0,0xd4,0x20,0x0,0xd4,0x0,0x0,
1306 0x40,0x20,0x0,0x0,0x0,0x0,0x0,0x0,
1307 0x0,0xd4,0xd4,0xd4,0xd4,0x0,0x0,0x0,
1308 0xd4,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1309 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1310 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1311 0x0,0x0,0x0,0x0,0x0,0x0,0xd4,0xd4,
1312 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1313 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1314 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1315 0x0,0x0,0xd4,0x0,0x0,0x0,0xd4,0x0,
1316 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1317 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1318 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1319 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1320 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1321 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1322 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1323 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1324 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1325 0x0,0x0,0x0};
1326 SetWordType zzerr92[32] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcd,0x11, 0xe3,0x92,0x0,0x8f,
12881327 0x0,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
12891328 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1290 SetWordType setwd26[234] = {0x0,0x0,0x85,0x85,0x85,0x85,0x85,
1291 0x85,0x85,0x85,0x0,0x0,0x85,0x85,0x85,
1292 0x85,0x85,0x85,0x85,0x85,0x85,0x85,0x0,
1293 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1294 0x0,0x0,0x0,0x0,0x0,0x85,0x0,0x0,
1295 0x0,0x85,0x85,0x0,0x0,0x0,0xdf,0x0,
1296 0x85,0xda,0x2,0xda,0xda,0x0,0x0,0xdf,
1297 0xdf,0xdf,0x0,0x0,0x0,0xdf,0x0,0x80,
1298 0x80,0xda,0xda,0x80,0x80,0x80,0xda,0xda,
1299 0xda,0x80,0xda,0x84,0x80,0xda,0x0,0x80,
1300 0x88,0x84,0x80,0x80,0x0,0x0,0x0,0x80,
1301 0xa0,0xda,0xda,0xda,0xda,0x80,0x0,0x0,
1302 0xda,0x80,0x80,0x80,0xa0,0x80,0x0,0x0,
1303 0x0,0x0,0x80,0x0,0x80,0x80,0x80,0x80,
1304 0x80,0x80,0x80,0xa0,0x80,0x0,0x80,0x0,
1305 0x0,0x80,0x80,0x80,0xa0,0xa0,0xda,0xda,
1306 0x80,0x80,0x80,0x80,0x80,0x0,0x0,0x80,
1307 0x0,0x0,0x0,0x80,0x80,0x0,0x80,0xa0,
1308 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1309 0x80,0x0,0xda,0x80,0x0,0x0,0xda,0x80,
1310 0x80,0x80,0x80,0x0,0x0,0x0,0x0,0x0,
1311 0x0,0x80,0x80,0x0,0x0,0xa0,0x0,0x0,
1312 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1313 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1314 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1315 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1316 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1317 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1318 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1319 0x0,0x0,0x0};
1320 SetWordType zzerr90[32] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcd,0x11, 0xe3,0x12,0x0,0x8f,
1329 SetWordType zzerr93[32] = {0x0,0x0,0x0,0x0, 0x0,0x21,0xcd,0x11, 0xe3,0x12,0x0,0x8f,
13211330 0x10,0x0,0x0,0x60, 0x0,0x0,0x0,0x22, 0x0,0x0,0x0,0x0,
13221331 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1323 SetWordType zzerr91[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x80,0x0,
1332 SetWordType setwd27[234] = {0x0,0x0,0x84,0x84,0x84,0x84,0x84,
1333 0x84,0x84,0x84,0x0,0x0,0x84,0x84,0x84,
1334 0x84,0x84,0x84,0x84,0x84,0x84,0x84,0x0,
1335 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1336 0x0,0x0,0x0,0x0,0x0,0x84,0x0,0x0,
1337 0x0,0x84,0x84,0x0,0x0,0x0,0xde,0x0,
1338 0x84,0xde,0x0,0xde,0xde,0x0,0x0,0xde,
1339 0xde,0xde,0x0,0x0,0x0,0xde,0x0,0x84,
1340 0x84,0xde,0xde,0x84,0x84,0x84,0xde,0xde,
1341 0xde,0x84,0xde,0x84,0x84,0xde,0x0,0x84,
1342 0x84,0x84,0x84,0x84,0x0,0x0,0x0,0x84,
1343 0xa5,0xde,0xde,0xde,0xde,0x84,0x0,0x0,
1344 0xde,0x84,0x84,0x84,0xa5,0x8c,0x0,0x0,
1345 0x0,0x0,0x84,0x0,0x84,0x84,0x84,0x84,
1346 0x84,0x84,0x84,0xa5,0x84,0x0,0x84,0x0,
1347 0x0,0x84,0x84,0x84,0xa5,0xa5,0xde,0xde,
1348 0x84,0x84,0x84,0x84,0x84,0x0,0x0,0x84,
1349 0x0,0x0,0x0,0x84,0x84,0x0,0x84,0xa5,
1350 0x84,0x84,0x84,0x84,0x84,0x84,0x84,0x84,
1351 0x84,0x0,0xde,0x84,0x0,0x0,0xde,0x84,
1352 0x84,0x84,0x84,0x0,0x0,0x0,0x0,0x0,
1353 0x0,0x84,0x84,0x0,0x0,0xa5,0x0,0x0,
1354 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1355 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1356 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1357 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1358 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1359 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1360 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1361 0x0,0x0,0x0};
1362 SetWordType zzerr94[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x80,0x0,
13241363 0x8,0x0,0x4,0x18, 0x0,0x40,0x0,0x0, 0x0,0x10,0x0,0x0,
13251364 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1326 SetWordType setwd27[234] = {0x0,0x0,0x10,0x10,0x10,0x10,0x10,
1327 0x10,0x10,0x10,0x0,0x0,0x10,0x10,0x10,
1328 0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x0,
1329 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1330 0x0,0x0,0x0,0x0,0x0,0x10,0x0,0x0,
1331 0x0,0x10,0x10,0x0,0x0,0x0,0x7b,0x0,
1332 0x10,0x7b,0x0,0x7b,0x7b,0x0,0x0,0xfb,
1333 0xfb,0xfb,0x0,0x0,0x0,0xfb,0x0,0x10,
1334 0x10,0x7b,0x7b,0x10,0x10,0x10,0x7b,0x7b,
1335 0x7b,0x10,0x7b,0x10,0x10,0x7b,0x0,0x10,
1336 0x70,0x10,0x10,0x10,0x0,0x0,0x0,0x10,
1337 0x74,0x7b,0x7b,0x7b,0x7b,0x10,0x0,0x0,
1338 0x7b,0x10,0x10,0x10,0x74,0x71,0x0,0x0,
1339 0x0,0x0,0x10,0x0,0x10,0x10,0x10,0x10,
1340 0x10,0x10,0x10,0x74,0x10,0x0,0x10,0x0,
1341 0x0,0x10,0x10,0x10,0x74,0x74,0x7b,0x7b,
1342 0x10,0x10,0x10,0x10,0x10,0x0,0x0,0x10,
1343 0x0,0x0,0x0,0x10,0x10,0x0,0x10,0x74,
1344 0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,
1345 0x10,0x0,0x7b,0x10,0x0,0x0,0x7b,0x10,
1346 0x10,0x10,0x10,0x0,0x0,0x0,0x0,0x0,
1347 0x0,0x10,0x10,0x0,0x0,0x74,0x0,0x0,
1348 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1349 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1350 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1351 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1352 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1353 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1354 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1355 0x0,0x0,0x0};
1356 SetWordType zzerr92[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
1357 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1358 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1359 SetWordType zzerr93[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x2,0x0, 0x0,0x0,0x0,0x0,
1360 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1361 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1362 SetWordType zzerr94[32] = {0xfc,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,
1365 SetWordType zzerr95[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
1366 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1367 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1368 SetWordType zzerr96[32] = {0x0,0x0,0x0,0x0, 0x0,0x2,0x2,0x0, 0x0,0x0,0x0,0x0,
1369 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1370 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1371 SetWordType zzerr97[32] = {0xfc,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,
13631372 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0x7f,0xff,0xbf,0xff,
13641373 0xff,0xff,0xff,0xff, 0xff,0x3,0x0,0x0};
1365 SetWordType zzerr95[32] = {0x0,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xff,0xff,
1374 SetWordType zzerr98[32] = {0x0,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xff,0xff,
13661375 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0x7f,0xff,0xff,0xff,
13671376 0xff,0xff,0xff,0xff, 0xff,0x3,0x0,0x0};
1368 SetWordType zzerr96[32] = {0xfc,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xef,0xff,
1377 SetWordType setwd28[234] = {0x0,0x0,0x90,0x90,0x90,0x90,0x90,
1378 0x90,0x90,0x90,0x80,0x80,0x90,0x90,0x90,
1379 0x90,0x90,0x90,0x90,0x90,0x90,0x90,0x80,
1380 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1381 0x80,0x80,0x80,0x80,0x80,0x90,0x80,0x80,
1382 0x80,0x90,0xb0,0x80,0x80,0x80,0x93,0x80,
1383 0x90,0x93,0xe0,0x93,0x93,0x80,0x80,0x9f,
1384 0x9f,0x9f,0x80,0x80,0x80,0x9f,0x80,0x90,
1385 0x90,0x93,0x93,0x90,0x90,0x90,0x93,0x93,
1386 0x93,0x90,0x93,0x90,0x90,0x93,0x80,0x90,
1387 0x93,0x90,0x90,0x90,0x80,0x80,0x80,0x90,
1388 0x93,0x93,0x93,0x93,0x93,0x90,0x80,0x80,
1389 0x93,0x90,0x90,0x90,0x93,0x93,0x80,0x80,
1390 0x80,0x80,0x90,0x80,0x90,0x90,0x90,0x90,
1391 0x90,0x90,0x90,0x93,0x90,0x80,0x90,0x80,
1392 0x80,0x90,0x90,0x90,0x93,0x93,0x93,0x93,
1393 0x90,0x90,0x90,0x90,0x90,0x80,0x80,0x90,
1394 0x80,0x80,0x80,0x90,0x90,0x80,0x90,0x93,
1395 0x90,0x90,0x90,0x90,0x90,0x90,0x90,0x90,
1396 0x90,0x80,0x93,0x90,0x80,0x80,0x93,0x90,
1397 0x90,0x90,0x90,0x80,0x80,0x80,0x80,0x80,
1398 0x0,0x90,0x90,0x80,0x80,0x93,0x80,0x80,
1399 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x0,
1400 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1401 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1402 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1403 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1404 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1405 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
1406 0x80,0x80,0x80};
1407 SetWordType zzerr99[32] = {0xfc,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xef,0xff,
13691408 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xbf,0xff,
13701409 0xff,0xff,0xff,0xff, 0xff,0x3,0x0,0x0};
1371 SetWordType zzerr97[32] = {0x0,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xef,0xff,
1410 SetWordType zzerr100[32] = {0x0,0xc,0xc0,0xff, 0xef,0xdd,0x3f,0xee, 0xff,0xff,0xef,0xff,
13721411 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,
13731412 0xff,0xff,0xff,0xff, 0xff,0x3,0x0,0x0};
1374 SetWordType setwd28[234] = {0x0,0x0,0x52,0x52,0x52,0x52,0x52,
1375 0x52,0x52,0x52,0x50,0x50,0x52,0x52,0x52,
1376 0x52,0x52,0x52,0x52,0x52,0x52,0x52,0x50,
1377 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1378 0x50,0x50,0x50,0x50,0x50,0x52,0x50,0x50,
1379 0x50,0x52,0x56,0x50,0x50,0x50,0x52,0x50,
1380 0x52,0x52,0x5c,0x52,0x52,0x50,0x50,0xf3,
1381 0xf3,0x53,0x50,0x50,0x50,0xf3,0x50,0xf2,
1382 0xf2,0xf2,0x52,0xf2,0xf2,0xf2,0x52,0x52,
1383 0x52,0xf2,0x52,0x52,0xf2,0x52,0x50,0x52,
1384 0x52,0x52,0x52,0xf2,0x50,0x10,0x50,0x52,
1385 0xf2,0x52,0x52,0x52,0x52,0xf2,0x50,0x50,
1386 0x52,0xf2,0xf2,0xf2,0xf2,0x52,0x50,0x50,
1387 0x50,0x50,0xf2,0x50,0xf2,0xf2,0xf2,0xf2,
1388 0xf2,0xf2,0xf2,0xf2,0xf2,0x50,0xf2,0x50,
1389 0x50,0xf2,0xf2,0xf2,0xf2,0xf2,0x52,0x52,
1390 0xf2,0xf2,0xf2,0xf2,0xf2,0x50,0x50,0xf2,
1391 0x50,0x50,0x50,0xf2,0xf2,0x50,0xf2,0xf2,
1392 0xf2,0xf2,0xf2,0xf2,0xf2,0xf2,0xf2,0xf2,
1393 0xf2,0x50,0x52,0xf2,0x50,0x50,0x52,0xf2,
1394 0xf2,0xf2,0xf2,0x50,0x50,0x50,0x50,0x50,
1395 0x40,0xf2,0xf2,0x50,0x50,0xf2,0x50,0x50,
1396 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x0,
1397 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1398 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1399 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1400 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1401 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1402 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x50,
1403 0x50,0x50,0x50};
1404 SetWordType zzerr98[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1405 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1406 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1407 SetWordType zzerr99[32] = {0x0,0x4,0x0,0x0, 0x2,0x8,0x2,0x0, 0x0,0x0,0x0,0x0,
1408 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1409 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1410 SetWordType zzerr100[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc0,0x11, 0x0,0x0,0x0,0x0,
1411 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1412 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1413 SetWordType zzerr101[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1413 SetWordType zzerr101[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1414 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1415 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1416 SetWordType zzerr102[32] = {0x0,0x4,0x0,0x0, 0x2,0x8,0x2,0x0, 0x0,0x0,0x0,0x0,
1417 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1418 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1419 SetWordType zzerr103[32] = {0x0,0x0,0x0,0x0, 0x0,0x20,0xc0,0x11, 0x0,0x0,0x0,0x0,
1420 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1421 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1422 SetWordType setwd29[234] = {0x0,0x0,0x2,0x2,0x2,0x2,0x2,
1423 0x2,0x2,0x2,0x62,0x2,0x2,0x2,0x2,
1424 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1425 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1426 0x2,0x2,0x62,0x2,0x2,0x2,0x2,0x2,
1427 0x2,0x82,0x2,0x8a,0x2,0x82,0x82,0x82,
1428 0x8a,0x2,0xea,0x2,0x2,0x2,0x2,0x17,
1429 0x17,0x12,0x2,0x2,0x2,0x17,0x2,0x7,
1430 0x7,0x7,0x2,0x7,0x7,0x7,0x2,0x2,
1431 0x2,0x7,0x2,0x2,0x7,0x2,0x2,0x2,
1432 0x2,0x2,0x2,0x7,0x2,0x0,0x2,0x2,
1433 0x7,0x2,0x2,0x2,0x2,0x7,0x2,0x2,
1434 0x2,0x7,0x7,0x7,0x7,0x2,0x2,0x2,
1435 0x2,0x2,0x7,0x2,0x7,0x7,0x7,0x7,
1436 0x7,0x87,0x7,0x7,0x7,0x2,0x7,0x2,
1437 0x2,0x7,0x7,0x7,0x7,0x7,0x2,0x2,
1438 0x7,0x7,0x7,0x7,0x7,0x2,0x2,0x7,
1439 0x2,0x2,0x2,0x7,0x7,0x2,0x7,0x7,
1440 0x7,0x7,0x7,0x7,0x7,0x7,0x7,0x7,
1441 0x7,0x2,0x2,0x7,0x2,0x2,0x2,0x7,
1442 0x7,0x7,0x7,0x2,0x2,0x2,0x2,0x2,
1443 0x2,0x7,0x7,0x2,0x2,0x7,0x2,0x2,
1444 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x0,
1445 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1446 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1447 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1448 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1449 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1450 0x2,0x2,0x2,0x2,0x2,0x2,0x2,0x2,
1451 0x2,0x2,0x2};
1452 SetWordType zzerr104[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
14141453 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
14151454 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1416 SetWordType setwd29[234] = {0x0,0x0,0x20,0x20,0x20,0x20,0x20,
1417 0x20,0x20,0x0,0xc,0x0,0xc0,0xc0,0x40,
1418 0x40,0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,0x80,
1419 0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x0,
1420 0x80,0x80,0x8c,0x80,0x80,0xc0,0x80,0x80,
1421 0x0,0x10,0x20,0x11,0x0,0x10,0x30,0x10,
1422 0x11,0x0,0x1d,0x0,0x0,0x0,0x0,0x22,
1423 0x22,0x22,0x0,0x0,0x0,0x22,0x0,0x0,
1424 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1425 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1426 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1427 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1428 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1429 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1430 0x0,0x10,0x0,0x0,0x0,0x0,0x0,0x0,
1431 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1432 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1433 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1434 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1435 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1436 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1437 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1438 0x0,0x0,0x0,0x0,0x80,0x80,0x80,0x0,
1439 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1440 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1441 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1442 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1443 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1444 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1445 0x0,0x0,0x0};
1446 SetWordType zzerr102[32] = {0x0,0x30,0xff,0xbf, 0xff,0xf5,0x2,0x0, 0x0,0x0,0x0,0x0,
1455 SetWordType zzerr105[32] = {0x0,0x30,0xff,0xbf, 0xff,0xf5,0x2,0x0, 0x0,0x0,0x0,0x0,
14471456 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x38,0x0,
14481457 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1449 SetWordType zzerr103[32] = {0x0,0x0,0x0,0x0, 0x0,0x85,0x0,0x0, 0x0,0x0,0x0,0x0,
1450 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1451 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1452 SetWordType zzerr104[32] = {0x0,0xf0,0x3f,0x0, 0x10,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1453 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1454 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1455 SetWordType zzerr105[32] = {0x0,0x30,0xff,0xbf, 0x7f,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1458 SetWordType zzerr106[32] = {0x0,0x0,0x0,0x0, 0x0,0x85,0x0,0x0, 0x0,0x0,0x0,0x0,
1459 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1460 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1461 SetWordType zzerr107[32] = {0x0,0xf0,0x3f,0x0, 0x10,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1462 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1463 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1464 SetWordType zzerr108[32] = {0x0,0x30,0xff,0xbf, 0x7f,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
14561465 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x38,0x0,
14571466 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1458 SetWordType zzerr106[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1459 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1460 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1461 SetWordType zzerr107[32] = {0x0,0x34,0xff,0xbf, 0xff,0xfd,0x2,0x0, 0x0,0x0,0x0,0x0,
1467 SetWordType setwd30[234] = {0x0,0x0,0x81,0x81,0x81,0x81,0x81,
1468 0x81,0x81,0x0,0x0,0x0,0x6,0x6,0x2,
1469 0x2,0x6,0x6,0x6,0x6,0x6,0x6,0x4,
1470 0x4,0x4,0x4,0x4,0x4,0x4,0x4,0x0,
1471 0x4,0x4,0x4,0x4,0x4,0x6,0x4,0x4,
1472 0x0,0x18,0x81,0x78,0x0,0x18,0x99,0x18,
1473 0x78,0x0,0x18,0x0,0x0,0x0,0x0,0x81,
1474 0x81,0x81,0x0,0x0,0x0,0x81,0x0,0x0,
1475 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1476 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1477 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1478 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1479 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1480 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1481 0x0,0x18,0x0,0x0,0x0,0x0,0x0,0x0,
1482 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1483 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1484 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1485 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1486 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1487 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1488 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1489 0x0,0x0,0x0,0x0,0x4,0x4,0x4,0x0,
1490 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1491 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1492 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1493 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1494 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1495 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1496 0x0,0x0,0x0};
1497 SetWordType zzerr109[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1498 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1499 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1500 SetWordType zzerr110[32] = {0x0,0x34,0xff,0xbf, 0xff,0xfd,0x2,0x0, 0x0,0x0,0x0,0x0,
14621501 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x38,0x0,
14631502 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1464 SetWordType setwd30[234] = {0x0,0x0,0x30,0x30,0x30,0x30,0x30,
1465 0x30,0x30,0x20,0xc0,0x0,0xe0,0xe0,0x20,
1466 0x20,0xe0,0xe0,0xe0,0xe0,0xe0,0xe0,0xc0,
1467 0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,0xc0,0x0,
1468 0xc0,0xc0,0xc0,0xc0,0xc0,0xe0,0xc0,0xc0,
1469 0xc0,0xc3,0x30,0xcf,0x0,0xc3,0xf3,0xc3,
1470 0xcf,0x0,0xc3,0x0,0x0,0x0,0x0,0x30,
1471 0x30,0x30,0x0,0x0,0x0,0x30,0x0,0x0,
1472 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1473 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1474 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1475 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1476 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1477 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1478 0x0,0xc3,0x0,0x0,0x0,0x0,0x0,0x0,
1479 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1480 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1481 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1482 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1483 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1484 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1485 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1486 0x0,0x0,0x0,0x0,0xc0,0xc0,0xc0,0x0,
1487 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1488 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1489 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1490 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1491 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1492 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1493 0x0,0x0,0x0};
1494 SetWordType zzerr108[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1495 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1496 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1497 SetWordType zzerr109[32] = {0x0,0x30,0xff,0xbf, 0xff,0xfd,0x2,0x0, 0x0,0x0,0x0,0x0,
1503 SetWordType zzerr111[32] = {0x0,0x0,0x0,0x0, 0x0,0x11,0x0,0x0, 0x0,0x0,0x0,0x0,
1504 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1505 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1506 SetWordType zzerr112[32] = {0x0,0x30,0xff,0xbf, 0xff,0xfd,0x2,0x0, 0x0,0x0,0x0,0x0,
14981507 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x38,0x0,
14991508 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1500 SetWordType zzerr110[32] = {0xfc,0x1,0x0,0x0, 0x0,0x22,0xc0,0x11, 0x0,0x0,0x0,0x0,
1501 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1502 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1503 SetWordType zzerr111[32] = {0x0,0x0,0xc0,0xbf, 0xef,0xdd,0x2,0x0, 0x0,0x0,0x0,0x0,
1509 SetWordType zzerr113[32] = {0xfc,0x1,0x0,0x0, 0x0,0x22,0xc0,0x11, 0x0,0x0,0x0,0x0,
1510 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1511 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1512 SetWordType zzerr114[32] = {0x0,0x0,0xc0,0xbf, 0xef,0xdd,0x2,0x0, 0x0,0x0,0x0,0x0,
15041513 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x78,0x0,
15051514 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1506 SetWordType zzerr112[32] = {0xfc,0x1,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1507 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1508 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1509 SetWordType setwd31[234] = {0x0,0x0,0x41,0x41,0x41,0x41,0x41,
1510 0x41,0x41,0x40,0x80,0x0,0x6c,0x6c,0x40,
1511 0x40,0x6c,0x6c,0x6c,0x6c,0x6c,0x6c,0x6c,
1512 0x6c,0x6c,0x6c,0x6c,0x6c,0x6c,0x6c,0x0,
1513 0x6c,0x6c,0xec,0x6c,0x6c,0x6c,0x6c,0x6c,
1514 0x6c,0x6c,0x40,0x6c,0x4,0x6c,0x6c,0x6c,
1515 0x6c,0x40,0xec,0x40,0x40,0x0,0x0,0x52,
1516 0x52,0x42,0x0,0x0,0x0,0x52,0x0,0x0,
1517 0x0,0x40,0x40,0x0,0x0,0x0,0x40,0x40,
1518 0x40,0x0,0x40,0x0,0x0,0x40,0x0,0x0,
1519 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1520 0x0,0x40,0x40,0x40,0x40,0x0,0x0,0x0,
1521 0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1522 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1523 0x0,0x6c,0x0,0x0,0x0,0x0,0x0,0x0,
1524 0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x40,
1525 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1526 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1527 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1528 0x0,0x0,0x40,0x0,0x0,0x0,0x40,0x0,
1529 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1530 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1531 0x0,0x0,0x0,0x0,0x6c,0x6c,0x6c,0x0,
1532 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1533 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1534 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1535 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1536 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1537 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1538 0x0,0x0,0x0};
1539 SetWordType zzerr113[32] = {0x0,0x0,0x0,0x0, 0x0,0xe0,0x0,0x0, 0x0,0x0,0x0,0x0,
1540 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1541 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1542 SetWordType zzerr114[32] = {0x0,0x0,0x0,0x0, 0x0,0xc5,0x0,0x0, 0x0,0x0,0x0,0x0,
1515 SetWordType setwd31[234] = {0x0,0x0,0x9,0x9,0x9,0x9,0x9,
1516 0x9,0x9,0x1,0x6,0x0,0x67,0x67,0x1,
1517 0x1,0x67,0x67,0x67,0x67,0x67,0x67,0x66,
1518 0x66,0x66,0x66,0x66,0x66,0x66,0x66,0x0,
1519 0x66,0x66,0x66,0x66,0x66,0x67,0x66,0x66,
1520 0x66,0x66,0x1,0x66,0x20,0x66,0x67,0x66,
1521 0x66,0x0,0x66,0x0,0x0,0x0,0x0,0x91,
1522 0x91,0x11,0x0,0x0,0x0,0x91,0x0,0x0,
1523 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1524 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1525 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1526 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1527 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1528 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1529 0x0,0x66,0x0,0x0,0x0,0x0,0x0,0x0,
1530 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1531 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1532 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1533 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1534 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1535 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1536 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1537 0x0,0x0,0x0,0x0,0x66,0x66,0x66,0x0,
1538 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1539 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1540 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1541 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1542 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1543 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1544 0x0,0x0,0x0};
1545 SetWordType zzerr115[32] = {0xfc,0x1,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1546 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1547 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1548 SetWordType zzerr116[32] = {0x0,0x0,0x0,0x0, 0x0,0xe0,0x0,0x0, 0x0,0x0,0x0,0x0,
1549 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1550 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1551 SetWordType setwd32[234] = {0x0,0x0,0x2,0x2,0x2,0x2,0x2,
1552 0x2,0x2,0x2,0x4,0x0,0x33,0x33,0x2,
1553 0x2,0x33,0x33,0x33,0x33,0x33,0x33,0x33,
1554 0x33,0x33,0x33,0x33,0x33,0x33,0x33,0x0,
1555 0x33,0x33,0x37,0x33,0x33,0x33,0x33,0x33,
1556 0x33,0xb3,0x42,0xb3,0x0,0x33,0x33,0xbb,
1557 0x3b,0x2,0x37,0x2,0x2,0x0,0x0,0x2,
1558 0x2,0x2,0x0,0x0,0x0,0x2,0x0,0x0,
1559 0x0,0x2,0x2,0x0,0x0,0x0,0x2,0x2,
1560 0x2,0x0,0x2,0x0,0x0,0x2,0x0,0x0,
1561 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1562 0x0,0x2,0x2,0x2,0x2,0x0,0x0,0x0,
1563 0x2,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1564 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1565 0x0,0x33,0x0,0x0,0x0,0x0,0x0,0x0,
1566 0x0,0x0,0x0,0x0,0x0,0x0,0x2,0x2,
1567 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1568 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1569 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1570 0x0,0x0,0x2,0x0,0x0,0x0,0x2,0x0,
1571 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1572 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1573 0x0,0x0,0x0,0x0,0x33,0x33,0x33,0x0,
1574 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1575 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1576 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1577 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1578 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1579 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1580 0x0,0x0,0x0};
1581 SetWordType zzerr117[32] = {0x0,0x0,0x0,0x0, 0x0,0xc5,0x0,0x0, 0x0,0x0,0x0,0x0,
15431582 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
15441583 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1545 SetWordType setwd32[234] = {0x0,0x0,0x20,0x20,0x20,0x20,0x20,
1546 0x20,0x20,0x20,0x0,0x0,0x26,0x26,0x20,
1547 0x20,0x26,0x26,0x26,0x26,0x26,0x26,0x6,
1548 0x6,0x6,0x6,0x6,0x6,0x6,0x6,0x0,
1549 0x6,0x6,0x6,0x6,0x6,0x26,0x6,0x6,
1550 0x6,0xd6,0x28,0xd6,0x0,0x6,0x26,0xd7,
1551 0xc7,0x0,0x6,0x0,0x0,0x0,0x0,0x20,
1552 0x20,0x20,0x0,0x0,0x0,0x20,0x0,0x0,
1553 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1554 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1555 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1556 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1557 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1558 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1559 0x0,0x6,0x0,0x0,0x0,0x0,0x0,0x0,
1560 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1561 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1562 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1563 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1564 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1565 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1566 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1567 0x0,0x0,0x0,0x0,0x6,0x6,0x6,0x0,
1568 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1569 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1570 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1571 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1572 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1573 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1574 0x0,0x0,0x0};
1575 SetWordType zzerr115[32] = {0x0,0x8,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
1584 SetWordType zzerr118[32] = {0x0,0x8,0x0,0x0, 0x0,0x84,0x0,0x0, 0x0,0x0,0x0,0x0,
15761585 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
15771586 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1578 SetWordType zzerr116[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
1579 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1580 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1581 SetWordType zzerr117[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x10, 0x0,0x0,0x0,0x0,
1582 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1583 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1584 SetWordType setwd33[234] = {0x0,0x0,0xe2,0xe2,0xe2,0xe2,0xe2,
1585 0xe2,0xe2,0x62,0x60,0x0,0x62,0x62,0x62,
1586 0x62,0x62,0x62,0x62,0x62,0x62,0x62,0x60,
1587 0x60,0x60,0x60,0x60,0x60,0x60,0x60,0x0,
1588 0x60,0x60,0x60,0x60,0x60,0x62,0x60,0x60,
1589 0x60,0x60,0x62,0x6d,0x60,0x60,0x62,0x60,
1590 0x6c,0x60,0x60,0x60,0x60,0x0,0x0,0x72,
1591 0x72,0x62,0x0,0x0,0x0,0x72,0x0,0x0,
1592 0x0,0x60,0x60,0x0,0x0,0x0,0x60,0x60,
1593 0x60,0x0,0x60,0x0,0x0,0x60,0x0,0x0,
1594 0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1595 0x40,0x60,0x60,0x60,0x60,0x0,0x0,0x0,
1596 0x60,0x0,0x0,0x0,0x40,0x40,0x0,0x0,
1597 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1598 0x0,0x60,0x0,0x40,0x0,0x0,0x0,0x0,
1599 0x0,0x0,0x0,0x0,0x40,0x40,0x60,0x60,
1600 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1601 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,
1602 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1603 0x0,0x0,0x60,0x0,0x0,0x0,0x60,0x0,
1604 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1605 0x0,0x0,0x0,0x0,0x0,0x40,0x0,0x0,
1606 0x0,0x0,0x0,0x0,0x60,0x60,0x60,0x0,
1607 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1608 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1609 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1610 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1611 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1612 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1613 0x0,0x0,0x0};
1614 SetWordType zzerr118[32] = {0xfc,0x1,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1615 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1616 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1617 SetWordType zzerr119[32] = {0xfc,0x1,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1618 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1619 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1620 SetWordType zzerr120[32] = {0x0,0x0,0x40,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1621 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1622 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1623 SetWordType setwd34[234] = {0x0,0x0,0x54,0x54,0x54,0x54,0x54,
1624 0x54,0x54,0x50,0x0,0x0,0x50,0x50,0x50,
1625 0x50,0x50,0x50,0x50,0x50,0x50,0x50,0x0,
1626 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1627 0x0,0x0,0x0,0x0,0x0,0x50,0x0,0x0,
1628 0x0,0x0,0x52,0x0,0x0,0x0,0x52,0x0,
1629 0x80,0x50,0x50,0x50,0x50,0x0,0x0,0x7b,
1630 0x7b,0x7b,0x0,0x0,0x0,0x7b,0x0,0x0,
1631 0x0,0x50,0x50,0x0,0x0,0x0,0x50,0x50,
1632 0x50,0x0,0x50,0x0,0x0,0x50,0x0,0x0,
1633 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1634 0x0,0x50,0x50,0x50,0x50,0x0,0x0,0x0,
1635 0x50,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1636 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1637 0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,
1638 0x0,0x0,0x0,0x0,0x0,0x0,0x50,0x50,
1639 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1640 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1641 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1642 0x0,0x0,0x50,0x0,0x0,0x0,0x50,0x0,
1643 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1644 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1645 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1646 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1647 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1648 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1649 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1650 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1651 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1652 0x0,0x0,0x0};
1653 SetWordType zzerr121[32] = {0x0,0x0,0x0,0x0, 0x0,0x80,0x0,0x0, 0x0,0x0,0x0,0x0,
1587 SetWordType zzerr119[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x11, 0x0,0x0,0x0,0x0,
1588 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1589 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1590 SetWordType setwd33[234] = {0x0,0x0,0x11,0x11,0x11,0x11,0x11,
1591 0x11,0x11,0x11,0x0,0x0,0x11,0x11,0x11,
1592 0x11,0x11,0x11,0x11,0x11,0x11,0x11,0x0,
1593 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1594 0x0,0x0,0x0,0x0,0x0,0x11,0x0,0x0,
1595 0x0,0x6,0x11,0x6e,0x0,0x0,0x11,0x6,
1596 0x66,0x0,0x0,0x0,0x0,0x0,0x0,0x91,
1597 0x91,0x11,0x0,0x0,0x0,0x91,0x0,0x0,
1598 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1599 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1600 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1601 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1602 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1603 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1604 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1605 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1606 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1607 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1608 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1609 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1610 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1611 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1612 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1613 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1614 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1615 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1616 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1617 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1618 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1619 0x0,0x0,0x0};
1620 SetWordType zzerr120[32] = {0x0,0x0,0x0,0x0, 0x0,0x0,0xc0,0x10, 0x0,0x0,0x0,0x0,
1621 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1622 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1623 SetWordType zzerr121[32] = {0xfc,0x1,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1624 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1625 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1626 SetWordType zzerr122[32] = {0xfc,0x1,0x0,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1627 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1628 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1629 SetWordType setwd34[234] = {0x0,0x0,0xa7,0xa7,0xa7,0xa7,0xa7,
1630 0xa7,0xa7,0x83,0x3,0x0,0x83,0x83,0x83,
1631 0x83,0x83,0x83,0x83,0x83,0x83,0x83,0x3,
1632 0x3,0x3,0x3,0x3,0x3,0x3,0x3,0x0,
1633 0x3,0x3,0x3,0x3,0x3,0x83,0x3,0x3,
1634 0x3,0x3,0x93,0x3,0x3,0x3,0x93,0x3,
1635 0x3,0x83,0x83,0x83,0x83,0x0,0x0,0xdb,
1636 0xdb,0xdb,0x0,0x0,0x0,0xdb,0x0,0x0,
1637 0x0,0x83,0x83,0x0,0x0,0x0,0x83,0x83,
1638 0x83,0x0,0x83,0x0,0x0,0x83,0x0,0x0,
1639 0x2,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1640 0x2,0x83,0x83,0x83,0x83,0x0,0x0,0x0,
1641 0x83,0x0,0x0,0x0,0x2,0x2,0x0,0x0,
1642 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1643 0x0,0x3,0x0,0x2,0x0,0x0,0x0,0x0,
1644 0x0,0x0,0x0,0x0,0x2,0x2,0x83,0x83,
1645 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1646 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2,
1647 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1648 0x0,0x0,0x83,0x0,0x0,0x0,0x83,0x0,
1649 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1650 0x0,0x0,0x0,0x0,0x0,0x2,0x0,0x0,
1651 0x0,0x0,0x0,0x0,0x3,0x3,0x3,0x0,
1652 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1653 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1654 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1655 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1656 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1657 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1658 0x0,0x0,0x0};
1659 SetWordType zzerr123[32] = {0x0,0x0,0x40,0x0, 0x0,0x2,0xc0,0x11, 0x0,0x0,0x0,0x0,
1660 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1661 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1662 SetWordType zzerr124[32] = {0x0,0x0,0x0,0x0, 0x0,0x80,0x0,0x0, 0x0,0x0,0x0,0x0,
16541663 0x0,0x0,0x1,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
16551664 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1656 SetWordType zzerr122[32] = {0x0,0x0,0x40,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
1665 SetWordType zzerr125[32] = {0x0,0x0,0x40,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0,
16571666 0x0,0x4,0x10,0x0, 0x0,0x0,0x0,0x0, 0x0,0x0,0x40,0x0,
16581667 0x0,0x0,0x0,0x0, 0x0,0x0,0x0,0x0};
1659 SetWordType setwd35[234] = {0x0,0x0,0x6,0x6,0x6,0x6,0x6,
1660 0x6,0x6,0x6,0x0,0x0,0x6,0x6,0x6,
1661 0x6,0x6,0x6,0x6,0x6,0x6,0x6,0x2,
1662 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1663 0x0,0x0,0x0,0x0,0x0,0x6,0x0,0x0,
1664 0x0,0x0,0x6,0x9,0x0,0x0,0x6,0x0,
1665 0x8,0x0,0x0,0x0,0x0,0x0,0x0,0x6,
1666 0x6,0x6,0x0,0x0,0x0,0x6,0x0,0x0,
1667 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1668 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1669 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1670 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1671 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1672 0x0,0x0,0x0,0x2,0x0,0x0,0x0,0x0,
1673 0x0,0x8,0x0,0x0,0x0,0x2,0x0,0x0,
1674 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1675 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1676 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1677 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1678 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1679 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1680 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1681 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1682 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1683 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1684 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1685 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1686 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1687 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1688 0x0,0x0,0x0};
1668 SetWordType setwd35[234] = {0x0,0x0,0x32,0x32,0x32,0x32,0x32,
1669 0x32,0x32,0x32,0x0,0x0,0x32,0x32,0x32,
1670 0x32,0x32,0x32,0x32,0x32,0x32,0x32,0x10,
1671 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1672 0x0,0x0,0x0,0x0,0x0,0x32,0x0,0x0,
1673 0x0,0x0,0x32,0x48,0x0,0x0,0x32,0x0,
1674 0x44,0x2,0x2,0x2,0x2,0x0,0x0,0x33,
1675 0x33,0x33,0x0,0x0,0x0,0x33,0x0,0x0,
1676 0x0,0x2,0x2,0x0,0x0,0x0,0x2,0x2,
1677 0x2,0x0,0x2,0x0,0x0,0x2,0x0,0x0,
1678 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1679 0x0,0x2,0x2,0x2,0x2,0x0,0x0,0x0,
1680 0x2,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1681 0x0,0x0,0x0,0x10,0x0,0x0,0x0,0x0,
1682 0x0,0x44,0x0,0x0,0x0,0x10,0x0,0x0,
1683 0x0,0x0,0x0,0x0,0x0,0x0,0x2,0x2,
1684 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1685 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1686 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1687 0x0,0x0,0x2,0x0,0x0,0x0,0x2,0x0,
1688 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1689 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1690 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1691 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1692 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1693 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1694 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1695 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1696 0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,
1697 0x0,0x0,0x0};
872872 extern SetWordType zzerr12[];
873873 extern SetWordType zzerr13[];
874874 extern SetWordType zzerr14[];
875 extern SetWordType zzerr15[];
875876 extern SetWordType setwd3[];
876 extern SetWordType zzerr15[];
877877 extern SetWordType zzerr16[];
878878 extern SetWordType zzerr17[];
879879 extern SetWordType zzerr18[];
882882 extern SetWordType zzerr20[];
883883 extern SetWordType zzerr21[];
884884 extern SetWordType zzerr22[];
885 extern SetWordType zzerr23[];
885886 extern SetWordType setwd5[];
886 extern SetWordType zzerr23[];
887887 extern SetWordType zzerr24[];
888888 extern SetWordType zzerr25[];
889889 extern SetWordType zzerr26[];
891891 extern SetWordType zzerr27[];
892892 extern SetWordType zzerr28[];
893893 extern SetWordType zzerr29[];
894 extern SetWordType setwd7[];
895894 extern SetWordType zzerr30[];
896895 extern SetWordType zzerr31[];
897 extern SetWordType setwd8[];
896 extern SetWordType setwd7[];
898897 extern SetWordType zzerr32[];
899898 extern SetWordType zzerr33[];
899 extern SetWordType setwd8[];
900900 extern SetWordType zzerr34[];
901901 extern SetWordType zzerr35[];
902 extern SetWordType setwd9[];
903902 extern SetWordType zzerr36[];
904903 extern SetWordType zzerr37[];
904 extern SetWordType setwd9[];
905905 extern SetWordType zzerr38[];
906906 extern SetWordType zzerr39[];
907 extern SetWordType zzerr40[];
907908 extern SetWordType setwd10[];
908 extern SetWordType zzerr40[];
909909 extern SetWordType zzerr41[];
910910 extern SetWordType zzerr42[];
911911 extern SetWordType zzerr43[];
912 extern SetWordType setwd11[];
913912 extern SetWordType zzerr44[];
914913 extern SetWordType zzerr45[];
914 extern SetWordType setwd11[];
915915 extern SetWordType zzerr46[];
916916 extern SetWordType zzerr47[];
917 extern SetWordType zzerr48[];
917918 extern SetWordType setwd12[];
918 extern SetWordType zzerr48[];
919919 extern SetWordType zzerr49[];
920920 extern SetWordType zzerr50[];
921921 extern SetWordType setwd13[];
922922 extern SetWordType zzerr51[];
923923 extern SetWordType zzerr52[];
924924 extern SetWordType zzerr53[];
925 extern SetWordType zzerr54[];
926 extern SetWordType zzerr55[];
925927 extern SetWordType setwd14[];
926 extern SetWordType zzerr54[];
927 extern SetWordType setwd15[];
928 extern SetWordType zzerr55[];
929928 extern SetWordType zzerr56[];
930929 extern SetWordType zzerr57[];
931 extern SetWordType setwd16[];
930 extern SetWordType setwd15[];
932931 extern SetWordType zzerr58[];
933932 extern SetWordType zzerr59[];
933 extern SetWordType setwd16[];
934934 extern SetWordType zzerr60[];
935935 extern SetWordType zzerr61[];
936 extern SetWordType setwd17[];
937936 extern SetWordType zzerr62[];
938937 extern SetWordType zzerr63[];
938 extern SetWordType setwd17[];
939939 extern SetWordType zzerr64[];
940940 extern SetWordType zzerr65[];
941941 extern SetWordType setwd18[];
942942 extern SetWordType zzerr66[];
943943 extern SetWordType zzerr67[];
944944 extern SetWordType zzerr68[];
945 extern SetWordType zzerr69[];
946 extern SetWordType zzerr70[];
945947 extern SetWordType setwd19[];
946 extern SetWordType zzerr69[];
947 extern SetWordType setwd20[];
948 extern SetWordType zzerr70[];
949948 extern SetWordType zzerr71[];
950949 extern SetWordType zzerr72[];
950 extern SetWordType setwd20[];
951951 extern SetWordType zzerr73[];
952952 extern SetWordType zzerr74[];
953 extern SetWordType zzerr75[];
953954 extern SetWordType setwd21[];
954 extern SetWordType zzerr75[];
955955 extern SetWordType zzerr76[];
956 extern SetWordType setwd22[];
957956 extern SetWordType zzerr77[];
958957 extern SetWordType zzerr78[];
959958 extern SetWordType zzerr79[];
959 extern SetWordType setwd22[];
960 extern SetWordType zzerr80[];
960961 extern SetWordType setwd23[];
961 extern SetWordType zzerr80[];
962962 extern SetWordType zzerr81[];
963963 extern SetWordType zzerr82[];
964 extern SetWordType setwd24[];
965964 extern SetWordType zzerr83[];
966965 extern SetWordType zzerr84[];
966 extern SetWordType setwd24[];
967967 extern SetWordType zzerr85[];
968968 extern SetWordType zzerr86[];
969 extern SetWordType zzerr87[];
969970 extern SetWordType setwd25[];
970 extern SetWordType zzerr87[];
971971 extern SetWordType zzerr88[];
972972 extern SetWordType zzerr89[];
973 extern SetWordType setwd26[];
974973 extern SetWordType zzerr90[];
975974 extern SetWordType zzerr91[];
976 extern SetWordType setwd27[];
975 extern SetWordType setwd26[];
977976 extern SetWordType zzerr92[];
978977 extern SetWordType zzerr93[];
978 extern SetWordType setwd27[];
979979 extern SetWordType zzerr94[];
980980 extern SetWordType zzerr95[];
981981 extern SetWordType zzerr96[];
982982 extern SetWordType zzerr97[];
983 extern SetWordType zzerr98[];
983984 extern SetWordType setwd28[];
984 extern SetWordType zzerr98[];
985985 extern SetWordType zzerr99[];
986986 extern SetWordType zzerr100[];
987987 extern SetWordType zzerr101[];
988 extern SetWordType setwd29[];
989988 extern SetWordType zzerr102[];
990989 extern SetWordType zzerr103[];
990 extern SetWordType setwd29[];
991991 extern SetWordType zzerr104[];
992992 extern SetWordType zzerr105[];
993993 extern SetWordType zzerr106[];
994994 extern SetWordType zzerr107[];
995 extern SetWordType zzerr108[];
995996 extern SetWordType setwd30[];
996 extern SetWordType zzerr108[];
997997 extern SetWordType zzerr109[];
998998 extern SetWordType zzerr110[];
999999 extern SetWordType zzerr111[];
10001000 extern SetWordType zzerr112[];
1001 extern SetWordType setwd31[];
10021001 extern SetWordType zzerr113[];
10031002 extern SetWordType zzerr114[];
1004 extern SetWordType setwd32[];
1003 extern SetWordType setwd31[];
10051004 extern SetWordType zzerr115[];
10061005 extern SetWordType zzerr116[];
1006 extern SetWordType setwd32[];
10071007 extern SetWordType zzerr117[];
1008 extern SetWordType setwd33[];
10091008 extern SetWordType zzerr118[];
10101009 extern SetWordType zzerr119[];
1010 extern SetWordType setwd33[];
10111011 extern SetWordType zzerr120[];
1012 extern SetWordType setwd34[];
10131012 extern SetWordType zzerr121[];
10141013 extern SetWordType zzerr122[];
1014 extern SetWordType setwd34[];
1015 extern SetWordType zzerr123[];
1016 extern SetWordType zzerr124[];
1017 extern SetWordType zzerr125[];
10151018 extern SetWordType setwd35[];
14571457 }
14581458 #line 1223 "./verilog.g"
14591459 v_name_of_variable();
1460 zzEXIT(zztasp1);
1461 return;
1462 fail:
1463 zzEXIT(zztasp1);
1464 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1465 zzresynch(setwd3, 0x10);
1460 #line 1223 "./verilog.g"
1461 {
1462 zzBLOCK(zztasp2);
1463 zzMake0;
1464 {
1465 if ( (LA(1)==V_LBRACK) ) {
1466 #line 1223 "./verilog.g"
1467 v_range();
1468 }
1469 else {
1470 if ( (setwd3[LA(1)]&0x10) ) {
1471 }
1472 else {zzFAIL(1,zzerr13,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1473 }
1474 zzEXIT(zztasp2);
1475 }
1476 }
1477 zzEXIT(zztasp1);
1478 return;
1479 fail:
1480 zzEXIT(zztasp1);
1481 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1482 zzresynch(setwd3, 0x20);
14661483 }
14671484 }
14681485
14941511 v_range();
14951512 }
14961513 else {
1497 if ( (setwd3[LA(1)]&0x20) ) {
1498 }
1499 else {zzFAIL(1,zzerr13,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1514 if ( (setwd3[LA(1)]&0x40) ) {
1515 }
1516 else {zzFAIL(1,zzerr14,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
15001517 }
15011518 zzEXIT(zztasp2);
15021519 }
15031520 }
15041521 #line 1225 "./verilog.g"
15051522 v_name_of_variable();
1506 zzEXIT(zztasp1);
1507 return;
1508 fail:
1509 zzEXIT(zztasp1);
1510 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1511 zzresynch(setwd3, 0x40);
1523 #line 1225 "./verilog.g"
1524 {
1525 zzBLOCK(zztasp2);
1526 zzMake0;
1527 {
1528 if ( (LA(1)==V_LBRACK)
1529 ) {
1530 #line 1225 "./verilog.g"
1531 v_range();
1532 }
1533 else {
1534 if ( (setwd3[LA(1)]&0x80) ) {
1535 }
1536 else {zzFAIL(1,zzerr15,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1537 }
1538 zzEXIT(zztasp2);
1539 }
1540 }
1541 zzEXIT(zztasp1);
1542 return;
1543 fail:
1544 zzEXIT(zztasp1);
1545 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1546 zzresynch(setwd4, 0x1);
15121547 }
15131548 }
15141549
15401575 v_range();
15411576 }
15421577 else {
1543 if ( (setwd3[LA(1)]&0x80) ) {
1544 }
1545 else {zzFAIL(1,zzerr14,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1578 if ( (setwd4[LA(1)]&0x2) ) {
1579 }
1580 else {zzFAIL(1,zzerr16,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
15461581 }
15471582 zzEXIT(zztasp2);
15481583 }
15491584 }
15501585 #line 1227 "./verilog.g"
15511586 v_name_of_variable();
1552 zzEXIT(zztasp1);
1553 return;
1554 fail:
1555 zzEXIT(zztasp1);
1556 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1557 zzresynch(setwd4, 0x1);
1587 #line 1227 "./verilog.g"
1588 {
1589 zzBLOCK(zztasp2);
1590 zzMake0;
1591 {
1592 if ( (LA(1)==V_LBRACK) ) {
1593 #line 1227 "./verilog.g"
1594 v_range();
1595 }
1596 else {
1597 if ( (setwd4[LA(1)]&0x4)
1598 ) {
1599 }
1600 else {zzFAIL(1,zzerr17,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1601 }
1602 zzEXIT(zztasp2);
1603 }
1604 }
1605 zzEXIT(zztasp1);
1606 return;
1607 fail:
1608 zzEXIT(zztasp1);
1609 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1610 zzresynch(setwd4, 0x8);
15581611 }
15591612 }
15601613
15701623 zzBLOCK(zztasp1);
15711624 zzMake0;
15721625 {
1573 if ( (LA(1)==V_LP)
1574 ) {
1626 if ( (LA(1)==V_LP) ) {
15751627 #line 1231 "./verilog.g"
15761628 zzmatch(V_LP); zzCONSUME;
15771629 #line 1231 "./verilog.g"
15971649 else {
15981650 if ( (LA(1)==V_SEMI) ) {
15991651 }
1600 else {zzFAIL(1,zzerr15,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1601 }
1602 zzEXIT(zztasp1);
1603 return;
1604 fail:
1605 zzEXIT(zztasp1);
1606 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1607 zzresynch(setwd4, 0x2);
1652 else {zzFAIL(1,zzerr18,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1653 }
1654 zzEXIT(zztasp1);
1655 return;
1656 fail:
1657 zzEXIT(zztasp1);
1658 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1659 zzresynch(setwd4, 0x10);
16081660 }
16091661 }
16101662
16201672 zzBLOCK(zztasp1);
16211673 zzMake0;
16221674 {
1623 if ( (setwd4[LA(1)]&0x4) ) {
1675 if ( (setwd4[LA(1)]&0x20) ) {
16241676 #line 1235 "./verilog.g"
16251677 v_port_expression();
16261678 }
16271679 else {
1628 if ( (LA(1)==V_DOT) ) {
1680 if ( (LA(1)==V_DOT)
1681 ) {
16291682 #line 1236 "./verilog.g"
16301683 zzmatch(V_DOT); zzCONSUME;
16311684 #line 1236 "./verilog.g"
16371690 #line 1236 "./verilog.g"
16381691 zzmatch(V_RP); zzCONSUME;
16391692 }
1640 else {zzFAIL(1,zzerr16,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1641 }
1642 zzEXIT(zztasp1);
1643 return;
1644 fail:
1645 zzEXIT(zztasp1);
1646 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1647 zzresynch(setwd4, 0x8);
1693 else {zzFAIL(1,zzerr19,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1694 }
1695 zzEXIT(zztasp1);
1696 return;
1697 fail:
1698 zzEXIT(zztasp1);
1699 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1700 zzresynch(setwd4, 0x40);
16481701 }
16491702 }
16501703
16601713 zzBLOCK(zztasp1);
16611714 zzMake0;
16621715 {
1663 if ( (setwd4[LA(1)]&0x10)
1664 ) {
1716 if ( (setwd4[LA(1)]&0x80) ) {
16651717 #line 1239 "./verilog.g"
16661718 v_port_reference();
16671719 }
16901742 zzmatch(V_RBRACE); zzCONSUME;
16911743 }
16921744 else {
1693 if ( (setwd4[LA(1)]&0x20) ) {
1694 }
1695 else {zzFAIL(1,zzerr17,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1696 }
1697 }
1698 zzEXIT(zztasp1);
1699 return;
1700 fail:
1701 zzEXIT(zztasp1);
1702 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1703 zzresynch(setwd4, 0x40);
1745 if ( (setwd5[LA(1)]&0x1) ) {
1746 }
1747 else {zzFAIL(1,zzerr20,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1748 }
1749 }
1750 zzEXIT(zztasp1);
1751 return;
1752 fail:
1753 zzEXIT(zztasp1);
1754 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1755 zzresynch(setwd5, 0x2);
17041756 }
17051757 }
17061758
17231775 zzBLOCK(zztasp2);
17241776 zzMake0;
17251777 {
1726 if ( (LA(1)==V_LBRACK) ) {
1778 if ( (LA(1)==V_LBRACK)
1779 ) {
17271780 #line 1245 "./verilog.g"
17281781 {
17291782 zzBLOCK(zztasp3);
17381791 zzBLOCK(zztasp4);
17391792 zzMake0;
17401793 {
1741 if ( (LA(1)==V_COLON)
1742 ) {
1794 if ( (LA(1)==V_COLON) ) {
17431795 #line 1245 "./verilog.g"
17441796 zzmatch(V_COLON); zzCONSUME;
17451797 #line 1245 "./verilog.g"
17481800 else {
17491801 if ( (LA(1)==V_RBRACK) ) {
17501802 }
1751 else {zzFAIL(1,zzerr18,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1803 else {zzFAIL(1,zzerr21,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
17521804 }
17531805 zzEXIT(zztasp4);
17541806 }
17601812 }
17611813 }
17621814 else {
1763 if ( (setwd4[LA(1)]&0x80) ) {
1764 }
1765 else {zzFAIL(1,zzerr19,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1815 if ( (setwd5[LA(1)]&0x4) ) {
1816 }
1817 else {zzFAIL(1,zzerr22,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
17661818 }
17671819 zzEXIT(zztasp2);
17681820 }
17721824 fail:
17731825 zzEXIT(zztasp1);
17741826 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1775 zzresynch(setwd5, 0x1);
1827 zzresynch(setwd5, 0x8);
17761828 }
17771829 }
17781830
17931845 v_parameter_declaration();
17941846 }
17951847 else {
1796 if ( (LA(1)==V_LOCALPARAM) ) {
1848 if ( (LA(1)==V_LOCALPARAM)
1849 ) {
17971850 #line 1250 "./verilog.g"
17981851 v_localparam_declaration();
17991852 }
18001853 else {
1801 if ( (LA(1)==V_INPUT)
1802 ) {
1854 if ( (LA(1)==V_INPUT) ) {
18031855 #line 1251 "./verilog.g"
18041856 v_input_declaration();
18051857 }
18191871 v_reg_declaration();
18201872 }
18211873 else {
1822 if ( (LA(1)==V_TIME) ) {
1874 if ( (LA(1)==V_TIME)
1875 ) {
18231876 #line 1255 "./verilog.g"
18241877 v_time_declaration();
18251878 }
18261879 else {
1827 if ( (LA(1)==V_INTEGER)
1828 ) {
1880 if ( (LA(1)==V_INTEGER) ) {
18291881 #line 1256 "./verilog.g"
18301882 v_integer_declaration();
18311883 }
18451897 v_event_declaration();
18461898 }
18471899 else {
1848 if ( (setwd5[LA(1)]&0x2) ) {
1900 if ( (setwd5[LA(1)]&0x10)
1901 ) {
18491902 #line 1260 "./verilog.g"
18501903 v_gate_declaration();
18511904 }
18521905 else {
1853 if ( (LA(1)==V_PRIMITIVE)
1854 ) {
1906 if ( (LA(1)==V_PRIMITIVE) ) {
18551907 #line 1261 "./verilog.g"
18561908 v_udp();
18571909 }
18581910 else {
1859 if ( (setwd5[LA(1)]&0x4) ) {
1911 if ( (setwd5[LA(1)]&0x20) ) {
18601912 #line 1262 "./verilog.g"
18611913 v_module_instantiation();
18621914 }
18661918 v_parameter_override();
18671919 }
18681920 else {
1869 if ( (setwd5[LA(1)]&0x8) ) {
1921 if ( (setwd5[LA(1)]&0x40) ) {
18701922 #line 1264 "./verilog.g"
18711923 v_continuous_assign();
18721924 }
18731925 else {
1874 if ( (LA(1)==V_INITIAL) ) {
1926 if ( (LA(1)==V_INITIAL)
1927 ) {
18751928 #line 1265 "./verilog.g"
18761929 v_initial_statement();
18771930 }
18781931 else {
1879 if ( (LA(1)==V_ALWAYS)
1880 ) {
1932 if ( (LA(1)==V_ALWAYS) ) {
18811933 #line 1266 "./verilog.g"
18821934 v_always_statement();
18831935 }
18971949 v_specify_block();
18981950 }
18991951 else {
1900 if ( (LA(1)==V_GENERATE) ) {
1952 if ( (LA(1)==V_GENERATE)
1953 ) {
19011954 #line 1270 "./verilog.g"
19021955 v_generate_block();
19031956 }
1904 else {zzFAIL(1,zzerr20,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
1957 else {zzFAIL(1,zzerr23,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
19051958 }
19061959 }
19071960 }
19281981 fail:
19291982 zzEXIT(zztasp1);
19301983 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
1931 zzresynch(setwd5, 0x10);
1984 zzresynch(setwd5, 0x80);
19321985 }
19331986 }
19341987
19982051 zzBLOCK(zztasp2);
19992052 zzMake0;
20002053 {
2001 while ( (LA(1)==V_COMMA)
2002 ) {
2054 while ( (LA(1)==V_COMMA) ) {
20032055 #line 1316 "./verilog.g"
20042056 zzmatch(V_COMMA); zzCONSUME;
20052057 #line 1316 "./verilog.g"
20232075 #line 1317 "./verilog.g"
20242076 v_udp_declaration();
20252077 zzLOOP(zztasp2);
2026 } while ( (setwd5[LA(1)]&0x20) );
2078 } while ( (setwd6[LA(1)]&0x1) );
20272079 zzEXIT(zztasp2);
20282080 }
20292081 }
20842136 fail:
20852137 zzEXIT(zztasp1);
20862138 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2087 zzresynch(setwd5, 0x40);
2139 zzresynch(setwd6, 0x2);
20882140 }
20892141 }
20902142
21102162 v_reg_declaration();
21112163 }
21122164 else {
2113 if ( (LA(1)==V_INPUT) ) {
2165 if ( (LA(1)==V_INPUT)
2166 ) {
21142167 #line 1369 "./verilog.g"
21152168 v_input_declaration();
21162169 }
2117 else {zzFAIL(1,zzerr21,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2118 }
2119 }
2120 zzEXIT(zztasp1);
2121 return;
2122 fail:
2123 zzEXIT(zztasp1);
2124 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2125 zzresynch(setwd5, 0x80);
2170 else {zzFAIL(1,zzerr24,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2171 }
2172 }
2173 zzEXIT(zztasp1);
2174 return;
2175 fail:
2176 zzEXIT(zztasp1);
2177 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2178 zzresynch(setwd6, 0x4);
21262179 }
21272180 }
21282181
21382191 zzBLOCK(zztasp1);
21392192 zzMake0;
21402193 {
2141 if ( (LA(1)==V_INITIAL)
2142 ) {
2194 if ( (LA(1)==V_INITIAL) ) {
21432195 #line 1372 "./verilog.g"
21442196 zzmatch(V_INITIAL); zzCONSUME;
21452197 #line 1372 "./verilog.g"
21542206 else {
21552207 if ( (LA(1)==V_TABLE) ) {
21562208 }
2157 else {zzFAIL(1,zzerr22,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2158 }
2159 zzEXIT(zztasp1);
2160 return;
2161 fail:
2162 zzEXIT(zztasp1);
2163 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2164 zzresynch(setwd6, 0x1);
2209 else {zzFAIL(1,zzerr25,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2210 }
2211 zzEXIT(zztasp1);
2212 return;
2213 fail:
2214 zzEXIT(zztasp1);
2215 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2216 zzresynch(setwd6, 0x8);
21652217 }
21662218 }
21672219
21902242 zzCONSUME;
21912243
21922244 }
2193 else {zzFAIL(1,zzerr23,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2194 }
2195 zzEXIT(zztasp1);
2196 return;
2197 fail:
2198 zzEXIT(zztasp1);
2199 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2200 zzresynch(setwd6, 0x2);
2245 else {zzFAIL(1,zzerr26,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2246 }
2247 zzEXIT(zztasp1);
2248 return;
2249 fail:
2250 zzEXIT(zztasp1);
2251 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2252 zzresynch(setwd6, 0x10);
22012253 }
22022254 }
22032255
22202272 fail:
22212273 zzEXIT(zztasp1);
22222274 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2223 zzresynch(setwd6, 0x4);
2275 zzresynch(setwd6, 0x20);
22242276 }
22252277 }
22262278
22472299 fail:
22482300 zzEXIT(zztasp1);
22492301 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2250 zzresynch(setwd6, 0x8);
2302 zzresynch(setwd6, 0x40);
22512303 }
22522304 }
22532305
22732325 #line 1387 "./verilog.g"
22742326 v_com_seq_entry();
22752327 zzLOOP(zztasp2);
2276 } while ( (setwd6[LA(1)]&0x10) );
2328 } while ( (setwd6[LA(1)]&0x80)
2329 );
22772330 zzEXIT(zztasp2);
22782331 }
22792332 }
22822335 fail:
22832336 zzEXIT(zztasp1);
22842337 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2285 zzresynch(setwd6, 0x20);
2338 zzresynch(setwd7, 0x1);
22862339 }
22872340 }
22882341
23072360 zzBLOCK(zztasp2);
23082361 zzMake0;
23092362 {
2310 if ( (setwd6[LA(1)]&0x40) &&
2311 (LA(2)==V_COLON) ) {
2363 if ( (setwd7[LA(1)]&0x2) && (LA(2)==V_COLON) ) {
23122364 #line 1390 "./verilog.g"
23132365 v_state();
23142366 #line 1390 "./verilog.g"
23212373 #line 1391 "./verilog.g"
23222374 zzmatch(V_OUTPUT_SYMBOL); zzCONSUME;
23232375 }
2324 else {zzFAIL(2,zzerr24,zzerr25,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2376 else {zzFAIL(2,zzerr27,zzerr28,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
23252377 }
23262378 zzEXIT(zztasp2);
23272379 }
23332385 fail:
23342386 zzEXIT(zztasp1);
23352387 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2336 zzresynch(setwd6, 0x80);
2388 zzresynch(setwd7, 0x4);
23372389 }
23382390 }
23392391
23582410 #line 1395 "./verilog.g"
23592411 zzmatch(V_LEVEL_SYMBOL_EXTRA); zzCONSUME;
23602412 }
2361 else {zzFAIL(1,zzerr26,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2362 }
2363 zzEXIT(zztasp1);
2364 return;
2365 fail:
2366 zzEXIT(zztasp1);
2367 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2368 zzresynch(setwd7, 0x1);
2413 else {zzFAIL(1,zzerr29,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2414 }
2415 zzEXIT(zztasp1);
2416 return;
2417 fail:
2418 zzEXIT(zztasp1);
2419 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2420 zzresynch(setwd7, 0x8);
23692421 }
23702422 }
23712423
23812433 zzBLOCK(zztasp1);
23822434 zzMake0;
23832435 {
2384 if ( (LA(1)==V_LP) ) {
2436 if ( (LA(1)==V_LP)
2437 ) {
23852438 #line 1398 "./verilog.g"
23862439 zzmatch(V_LP); zzCONSUME;
23872440 #line 1398 "./verilog.g"
23902443 zzmatch(V_RP); zzCONSUME;
23912444 }
23922445 else {
2393 if ( (LA(1)==V_EDGE_SYMBOL)
2394 ) {
2446 if ( (LA(1)==V_EDGE_SYMBOL) ) {
23952447 #line 1399 "./verilog.g"
23962448 zzmatch(V_EDGE_SYMBOL); zzCONSUME;
23972449 }
2398 else {zzFAIL(1,zzerr27,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2399 }
2400 zzEXIT(zztasp1);
2401 return;
2402 fail:
2403 zzEXIT(zztasp1);
2404 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2405 zzresynch(setwd7, 0x2);
2450 else {zzFAIL(1,zzerr30,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2451 }
2452 zzEXIT(zztasp1);
2453 return;
2454 fail:
2455 zzEXIT(zztasp1);
2456 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2457 zzresynch(setwd7, 0x10);
24062458 }
24072459 }
24082460
24182470 zzBLOCK(zztasp1);
24192471 zzMake0;
24202472 {
2421 if ( (setwd7[LA(1)]&0x4) ) {
2473 if ( (setwd7[LA(1)]&0x20) ) {
24222474 #line 1403 "./verilog.g"
24232475 v_level_symbol();
24242476 #line 1403 "./verilog.g"
24292481 #line 1404 "./verilog.g"
24302482 zzmatch(V_IDENTIFIER); zzCONSUME;
24312483 }
2432 else {zzFAIL(1,zzerr28,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2433 }
2434 zzEXIT(zztasp1);
2435 return;
2436 fail:
2437 zzEXIT(zztasp1);
2438 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2439 zzresynch(setwd7, 0x8);
2484 else {zzFAIL(1,zzerr31,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2485 }
2486 zzEXIT(zztasp1);
2487 return;
2488 fail:
2489 zzEXIT(zztasp1);
2490 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2491 zzresynch(setwd7, 0x40);
24402492 }
24412493 }
24422494
24522504 zzBLOCK(zztasp1);
24532505 zzMake0;
24542506 {
2455 if ( (setwd7[LA(1)]&0x10) ) {
2507 if ( (setwd7[LA(1)]&0x80) ) {
24562508 #line 1407 "./verilog.g"
24572509 v_level_symbol();
24582510 }
24592511 else {
2460 if ( (setwd7[LA(1)]&0x20) ) {
2512 if ( (setwd8[LA(1)]&0x1)
2513 ) {
24612514 #line 1408 "./verilog.g"
24622515 v_edge();
24632516 }
2464 else {zzFAIL(1,zzerr29,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2465 }
2466 zzEXIT(zztasp1);
2467 return;
2468 fail:
2469 zzEXIT(zztasp1);
2470 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2471 zzresynch(setwd7, 0x40);
2517 else {zzFAIL(1,zzerr32,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2518 }
2519 zzEXIT(zztasp1);
2520 return;
2521 fail:
2522 zzEXIT(zztasp1);
2523 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2524 zzresynch(setwd8, 0x2);
24722525 }
24732526 }
24742527
24892542 zzBLOCK(zztasp2);
24902543 zzMake0;
24912544 {
2492 while ( (setwd7[LA(1)]&0x80)
2493 ) {
2545 while ( (setwd8[LA(1)]&0x4) ) {
24942546 #line 1411 "./verilog.g"
24952547 v_level_symbol2();
24962548 zzLOOP(zztasp2);
25032555 fail:
25042556 zzEXIT(zztasp1);
25052557 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2506 zzresynch(setwd8, 0x1);
2558 zzresynch(setwd8, 0x8);
25072559 }
25082560 }
25092561
25262578 fail:
25272579 zzEXIT(zztasp1);
25282580 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2529 zzresynch(setwd8, 0x2);
2581 zzresynch(setwd8, 0x10);
25302582 }
25312583 }
25322584
25512603 #line 1419 "./verilog.g"
25522604 zzmatch(V_HYPHEN); zzCONSUME;
25532605 }
2554 else {zzFAIL(1,zzerr30,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2555 }
2556 zzEXIT(zztasp1);
2557 return;
2558 fail:
2559 zzEXIT(zztasp1);
2560 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2561 zzresynch(setwd8, 0x4);
2606 else {zzFAIL(1,zzerr33,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2607 }
2608 zzEXIT(zztasp1);
2609 return;
2610 fail:
2611 zzEXIT(zztasp1);
2612 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2613 zzresynch(setwd8, 0x20);
25622614 }
25632615 }
25642616
25942646 zzBLOCK(zztasp2);
25952647 zzMake0;
25962648 {
2597 while ( (setwd8[LA(1)]&0x8) ) {
2649 while ( (setwd8[LA(1)]&0x40) ) {
25982650 #line 1431 "./verilog.g"
25992651 v_tf_declaration();
26002652 zzLOOP(zztasp2);
26152667 fail:
26162668 zzEXIT(zztasp1);
26172669 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2618 zzresynch(setwd8, 0x10);
2670 zzresynch(setwd8, 0x80);
26192671 }
26202672 }
26212673
26472699 zzBLOCK(zztasp2);
26482700 zzMake0;
26492701 {
2650 if ( (setwd8[LA(1)]&0x20) ) {
2702 if ( (setwd9[LA(1)]&0x1)
2703 ) {
26512704 #line 1444 "./verilog.g"
26522705 v_range_or_type();
26532706 }
26542707 else {
2655 if ( (setwd8[LA(1)]&0x40)
2656 ) {
2657 }
2658 else {zzFAIL(1,zzerr31,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2708 if ( (setwd9[LA(1)]&0x2) ) {
2709 }
2710 else {zzFAIL(1,zzerr34,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
26592711 }
26602712 zzEXIT(zztasp2);
26612713 }
26742726 #line 1445 "./verilog.g"
26752727 v_tf_declaration();
26762728 zzLOOP(zztasp2);
2677 } while ( (setwd8[LA(1)]&0x80) );
2729 } while ( (setwd9[LA(1)]&0x4) );
26782730 zzEXIT(zztasp2);
26792731 }
26802732 }
26912743 fail:
26922744 zzEXIT(zztasp1);
26932745 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2694 zzresynch(setwd9, 0x1);
2746 zzresynch(setwd9, 0x8);
26952747 }
26962748 }
26972749
27172769 zzmatch(V_INTEGER); zzCONSUME;
27182770 }
27192771 else {
2720 if ( (LA(1)==V_REAL) ) {
2772 if ( (LA(1)==V_REAL)
2773 ) {
27212774 #line 1452 "./verilog.g"
27222775 zzmatch(V_REAL); zzCONSUME;
27232776 }
2724 else {zzFAIL(1,zzerr32,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2725 }
2726 }
2727 zzEXIT(zztasp1);
2728 return;
2729 fail:
2730 zzEXIT(zztasp1);
2731 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2732 zzresynch(setwd9, 0x2);
2777 else {zzFAIL(1,zzerr35,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2778 }
2779 }
2780 zzEXIT(zztasp1);
2781 return;
2782 fail:
2783 zzEXIT(zztasp1);
2784 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2785 zzresynch(setwd9, 0x10);
27332786 }
27342787 }
27352788
27452798 zzBLOCK(zztasp1);
27462799 zzMake0;
27472800 {
2748 if ( (LA(1)==V_PARAMETER)
2749 ) {
2801 if ( (LA(1)==V_PARAMETER) ) {
27502802 #line 1455 "./verilog.g"
27512803 v_parameter_declaration();
27522804 }
27662818 v_output_declaration();
27672819 }
27682820 else {
2769 if ( (LA(1)==V_INOUT) ) {
2821 if ( (LA(1)==V_INOUT)
2822 ) {
27702823 #line 1459 "./verilog.g"
27712824 v_inout_declaration();
27722825 }
27732826 else {
2774 if ( (LA(1)==V_REG)
2775 ) {
2827 if ( (LA(1)==V_REG) ) {
27762828 #line 1460 "./verilog.g"
27772829 v_reg_declaration();
27782830 }
27912843 #line 1463 "./verilog.g"
27922844 v_real_declaration();
27932845 }
2794 else {zzFAIL(1,zzerr33,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2846 else {zzFAIL(1,zzerr36,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
27952847 }
27962848 }
27972849 }
28052857 fail:
28062858 zzEXIT(zztasp1);
28072859 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2808 zzresynch(setwd9, 0x4);
2860 zzresynch(setwd9, 0x20);
28092861 }
28102862 }
28112863
28302882 zzBLOCK(zztasp2);
28312883 zzMake0;
28322884 {
2833 if ( (LA(1)==V_LBRACK) ) {
2885 if ( (LA(1)==V_LBRACK)
2886 ) {
28342887 #line 1470 "./verilog.g"
28352888 v_range();
28362889 }
28372890 else {
2838 if ( (setwd9[LA(1)]&0x8)
2839 ) {
2840 }
2841 else {zzFAIL(1,zzerr34,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2891 if ( (setwd9[LA(1)]&0x40) ) {
2892 }
2893 else {zzFAIL(1,zzerr37,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
28422894 }
28432895 zzEXIT(zztasp2);
28442896 }
28522904 fail:
28532905 zzEXIT(zztasp1);
28542906 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2855 zzresynch(setwd9, 0x10);
2907 zzresynch(setwd9, 0x80);
28562908 }
28572909 }
28582910
28822934 v_range();
28832935 }
28842936 else {
2885 if ( (setwd9[LA(1)]&0x20) ) {
2886 }
2887 else {zzFAIL(1,zzerr35,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
2937 if ( (setwd10[LA(1)]&0x1) ) {
2938 }
2939 else {zzFAIL(1,zzerr38,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
28882940 }
28892941 zzEXIT(zztasp2);
28902942 }
28982950 fail:
28992951 zzEXIT(zztasp1);
29002952 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2901 zzresynch(setwd9, 0x40);
2953 zzresynch(setwd10, 0x2);
29022954 }
29032955 }
29042956
29252977 fail:
29262978 zzEXIT(zztasp1);
29272979 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2928 zzresynch(setwd9, 0x80);
2980 zzresynch(setwd10, 0x4);
29292981 }
29302982 }
29312983
29633015 fail:
29643016 zzEXIT(zztasp1);
29653017 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
2966 zzresynch(setwd10, 0x1);
3018 zzresynch(setwd10, 0x8);
29673019 }
29683020 }
29693021
29903042 zzBLOCK(zztasp2);
29913043 zzMake0;
29923044 {
2993 if ( (setwd10[LA(1)]&0x2) ) {
3045 if ( (setwd10[LA(1)]&0x10)
3046 ) {
29943047 #line 1484 "./verilog.g"
29953048 v_list_of_variables();
29963049 #line 1484 "./verilog.g"
29973050 zzmatch(V_SEMI); zzCONSUME;
29983051 }
29993052 else {
3000 if ( (LA(1)==V_LBRACK)
3001 ) {
3053 if ( (LA(1)==V_LBRACK) ) {
30023054 #line 1485 "./verilog.g"
30033055 v_range();
30043056 #line 1485 "./verilog.g"
30063058 #line 1485 "./verilog.g"
30073059 zzmatch(V_SEMI); zzCONSUME;
30083060 }
3009 else {zzFAIL(1,zzerr36,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3061 else {zzFAIL(1,zzerr39,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
30103062 }
30113063 zzEXIT(zztasp2);
30123064 }
30163068 fail:
30173069 zzEXIT(zztasp1);
30183070 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3019 zzresynch(setwd10, 0x4);
3071 zzresynch(setwd10, 0x20);
30203072 }
30213073 }
30223074
30433095 zzBLOCK(zztasp2);
30443096 zzMake0;
30453097 {
3046 if ( (setwd10[LA(1)]&0x8) ) {
3098 if ( (setwd10[LA(1)]&0x40) ) {
30473099 #line 1488 "./verilog.g"
30483100 v_list_of_variables();
30493101 #line 1488 "./verilog.g"
30583110 #line 1489 "./verilog.g"
30593111 zzmatch(V_SEMI); zzCONSUME;
30603112 }
3061 else {zzFAIL(1,zzerr37,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3113 else {zzFAIL(1,zzerr40,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
30623114 }
30633115 zzEXIT(zztasp2);
30643116 }
30683120 fail:
30693121 zzEXIT(zztasp1);
30703122 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3071 zzresynch(setwd10, 0x10);
3123 zzresynch(setwd10, 0x80);
30723124 }
30733125 }
30743126
30953147 zzBLOCK(zztasp2);
30963148 zzMake0;
30973149 {
3098 if ( (setwd10[LA(1)]&0x20) ) {
3150 if ( (setwd11[LA(1)]&0x1) ) {
30993151 #line 1492 "./verilog.g"
31003152 v_list_of_variables();
31013153 #line 1492 "./verilog.g"
31023154 zzmatch(V_SEMI); zzCONSUME;
31033155 }
31043156 else {
3105 if ( (LA(1)==V_LBRACK) ) {
3157 if ( (LA(1)==V_LBRACK)
3158 ) {
31063159 #line 1493 "./verilog.g"
31073160 v_range();
31083161 #line 1493 "./verilog.g"
31103163 #line 1493 "./verilog.g"
31113164 zzmatch(V_SEMI); zzCONSUME;
31123165 }
3113 else {zzFAIL(1,zzerr38,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3166 else {zzFAIL(1,zzerr41,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
31143167 }
31153168 zzEXIT(zztasp2);
31163169 }
31203173 fail:
31213174 zzEXIT(zztasp1);
31223175 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3123 zzresynch(setwd10, 0x40);
3176 zzresynch(setwd11, 0x2);
31243177 }
31253178 }
31263179
31363189 zzBLOCK(zztasp1);
31373190 zzMake0;
31383191 {
3139 if ( (LA(1)==V_LP)
3140 ) {
3192 if ( (LA(1)==V_LP) ) {
31413193 #line 1496 "./verilog.g"
31423194 v_charge_strength();
31433195 }
31443196 else {
3145 if ( (setwd10[LA(1)]&0x80) ) {
3146 }
3147 else {zzFAIL(1,zzerr39,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3148 }
3149 zzEXIT(zztasp1);
3150 return;
3151 fail:
3152 zzEXIT(zztasp1);
3153 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3154 zzresynch(setwd11, 0x1);
3197 if ( (setwd11[LA(1)]&0x4) ) {
3198 }
3199 else {zzFAIL(1,zzerr42,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3200 }
3201 zzEXIT(zztasp1);
3202 return;
3203 fail:
3204 zzEXIT(zztasp1);
3205 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3206 zzresynch(setwd11, 0x8);
31553207 }
31563208 }
31573209
31773229 zzmatch(V_TRI); zzCONSUME;
31783230 }
31793231 else {
3180 if ( (LA(1)==V_TRI1) ) {
3232 if ( (LA(1)==V_TRI1)
3233 ) {
31813234 #line 1502 "./verilog.g"
31823235 zzmatch(V_TRI1); zzCONSUME;
31833236 }
31843237 else {
3185 if ( (LA(1)==V_SUPPLY0)
3186 ) {
3238 if ( (LA(1)==V_SUPPLY0) ) {
31873239 #line 1503 "./verilog.g"
31883240 zzmatch(V_SUPPLY0); zzCONSUME;
31893241 }
32033255 zzmatch(V_TRI0); zzCONSUME;
32043256 }
32053257 else {
3206 if ( (LA(1)==V_SUPPLY1) ) {
3258 if ( (LA(1)==V_SUPPLY1)
3259 ) {
32073260 #line 1507 "./verilog.g"
32083261 zzmatch(V_SUPPLY1); zzCONSUME;
32093262 }
32103263 else {
3211 if ( (LA(1)==V_WOR)
3212 ) {
3264 if ( (LA(1)==V_WOR) ) {
32133265 #line 1508 "./verilog.g"
32143266 zzmatch(V_WOR); zzCONSUME;
32153267 }
32233275 #line 1510 "./verilog.g"
32243276 zzmatch(V_TRIREG); zzCONSUME;
32253277 }
3226 else {zzFAIL(1,zzerr40,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3278 else {zzFAIL(1,zzerr43,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
32273279 }
32283280 }
32293281 }
32393291 fail:
32403292 zzEXIT(zztasp1);
32413293 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3242 zzresynch(setwd11, 0x2);
3294 zzresynch(setwd11, 0x10);
32433295 }
32443296 }
32453297
32553307 zzBLOCK(zztasp1);
32563308 zzMake0;
32573309 {
3258 if ( (setwd11[LA(1)]&0x4) ) {
3310 if ( (setwd11[LA(1)]&0x20) ) {
32593311 #line 1514 "./verilog.g"
32603312 v_nettype();
32613313 }
32623314 else {
3263 if ( (LA(1)==V_REG) ) {
3315 if ( (LA(1)==V_REG)
3316 ) {
32643317 #line 1515 "./verilog.g"
32653318 zzmatch(V_REG); zzCONSUME;
32663319 }
32673320 else {
3268 if ( (setwd11[LA(1)]&0x8)
3269 ) {
3270 }
3271 else {zzFAIL(1,zzerr41,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3272 }
3273 }
3274 zzEXIT(zztasp1);
3275 return;
3276 fail:
3277 zzEXIT(zztasp1);
3278 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3279 zzresynch(setwd11, 0x10);
3321 if ( (setwd11[LA(1)]&0x40) ) {
3322 }
3323 else {zzFAIL(1,zzerr44,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3324 }
3325 }
3326 zzEXIT(zztasp1);
3327 return;
3328 fail:
3329 zzEXIT(zztasp1);
3330 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3331 zzresynch(setwd11, 0x80);
32803332 }
32813333 }
32823334
33103362 #line 1521 "./verilog.g"
33113363 v_range();
33123364 }
3313 else {zzFAIL(1,zzerr42,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3314 }
3315 }
3316 zzEXIT(zztasp1);
3317 return;
3318 fail:
3319 zzEXIT(zztasp1);
3320 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3321 zzresynch(setwd11, 0x20);
3365 else {zzFAIL(1,zzerr45,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3366 }
3367 }
3368 zzEXIT(zztasp1);
3369 return;
3370 fail:
3371 zzEXIT(zztasp1);
3372 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3373 zzresynch(setwd12, 0x1);
33223374 }
33233375 }
33243376
33493401 fail:
33503402 zzEXIT(zztasp1);
33513403 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3352 zzresynch(setwd11, 0x40);
3404 zzresynch(setwd12, 0x2);
33533405 }
33543406 }
33553407
33653417 zzBLOCK(zztasp1);
33663418 zzMake0;
33673419 {
3368 if ( (LA(1)==V_LBRACK) ) {
3420 if ( (LA(1)==V_LBRACK)
3421 ) {
33693422 #line 1527 "./verilog.g"
33703423 v_range();
33713424 }
33723425 else {
3373 if ( (setwd11[LA(1)]&0x80)
3374 ) {
3375 }
3376 else {zzFAIL(1,zzerr43,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3377 }
3378 zzEXIT(zztasp1);
3379 return;
3380 fail:
3381 zzEXIT(zztasp1);
3382 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3383 zzresynch(setwd12, 0x1);
3426 if ( (setwd12[LA(1)]&0x4) ) {
3427 }
3428 else {zzFAIL(1,zzerr46,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3429 }
3430 zzEXIT(zztasp1);
3431 return;
3432 fail:
3433 zzEXIT(zztasp1);
3434 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3435 zzresynch(setwd12, 0x8);
33843436 }
33853437 }
33863438
34103462 v_range();
34113463 }
34123464 else {
3413 if ( (setwd12[LA(1)]&0x2) ) {
3414 }
3415 else {zzFAIL(1,zzerr44,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3465 if ( (setwd12[LA(1)]&0x10) ) {
3466 }
3467 else {zzFAIL(1,zzerr47,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
34163468 }
34173469 zzEXIT(zztasp2);
34183470 }
34263478 fail:
34273479 zzEXIT(zztasp1);
34283480 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3429 zzresynch(setwd12, 0x4);
3481 zzresynch(setwd12, 0x20);
34303482 }
34313483 }
34323484
34563508 v_range();
34573509 }
34583510 else {
3459 if ( (setwd12[LA(1)]&0x8) ) {
3460 }
3461 else {zzFAIL(1,zzerr45,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3511 if ( (setwd12[LA(1)]&0x40)
3512 ) {
3513 }
3514 else {zzFAIL(1,zzerr48,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
34623515 }
34633516 zzEXIT(zztasp2);
34643517 }
34723525 fail:
34733526 zzEXIT(zztasp1);
34743527 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3475 zzresynch(setwd12, 0x10);
3528 zzresynch(setwd12, 0x80);
34763529 }
34773530 }
34783531
34973550 zzBLOCK(zztasp2);
34983551 zzMake0;
34993552 {
3500 if ( (LA(1)==V_LBRACK)
3501 ) {
3553 if ( (LA(1)==V_LBRACK) ) {
35023554 #line 1537 "./verilog.g"
35033555 v_range();
35043556 }
35053557 else {
3506 if ( (setwd12[LA(1)]&0x20) ) {
3507 }
3508 else {zzFAIL(1,zzerr46,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3558 if ( (setwd13[LA(1)]&0x1) ) {
3559 }
3560 else {zzFAIL(1,zzerr49,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
35093561 }
35103562 zzEXIT(zztasp2);
35113563 }
35193571 fail:
35203572 zzEXIT(zztasp1);
35213573 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3522 zzresynch(setwd12, 0x40);
3574 zzresynch(setwd13, 0x2);
35233575 }
35243576 }
35253577
35493601 v_range();
35503602 }
35513603 else {
3552 if ( (setwd12[LA(1)]&0x80) ) {
3553 }
3554 else {zzFAIL(1,zzerr47,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3604 if ( (setwd13[LA(1)]&0x4) ) {
3605 }
3606 else {zzFAIL(1,zzerr50,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
35553607 }
35563608 zzEXIT(zztasp2);
35573609 }
35653617 fail:
35663618 zzEXIT(zztasp1);
35673619 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3568 zzresynch(setwd13, 0x1);
3620 zzresynch(setwd13, 0x8);
35693621 }
35703622 }
35713623
35903642 zzBLOCK(zztasp2);
35913643 zzMake0;
35923644 {
3593 while ( (LA(1)==V_COMMA) ) {
3645 while ( (LA(1)==V_COMMA)
3646 ) {
35943647 #line 1543 "./verilog.g"
35953648 zzmatch(V_COMMA); zzCONSUME;
35963649 #line 1543 "./verilog.g"
36073660 fail:
36083661 zzEXIT(zztasp1);
36093662 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3610 zzresynch(setwd13, 0x2);
3663 zzresynch(setwd13, 0x10);
36113664 }
36123665 }
36133666
36233676 zzBLOCK(zztasp1);
36243677 zzMake0;
36253678 {
3626 if ( (LA(1)==V_ASSIGN)
3627 ) {
3679 if ( (LA(1)==V_ASSIGN) ) {
36283680 #line 1546 "./verilog.g"
36293681 zzmatch(V_ASSIGN); zzCONSUME;
36303682 #line 1546 "./verilog.g"
36373689 zzmatch(V_SEMI); zzCONSUME;
36383690 }
36393691 else {
3640 if ( (setwd13[LA(1)]&0x4) ) {
3692 if ( (setwd13[LA(1)]&0x20) ) {
36413693 #line 1547 "./verilog.g"
36423694 v_nettype();
36433695 #line 1548 "./verilog.g"
36533705 zzBLOCK(zztasp2);
36543706 zzMake0;
36553707 {
3656 if ( (setwd13[LA(1)]&0x8) && (setwd13[LA(2)]&0x10) ) {
3708 if ( (setwd13[LA(1)]&0x40) && (setwd13[LA(2)]&0x80) ) {
36573709 #line 1551 "./verilog.g"
36583710 v_list_of_variables();
36593711 }
36603712 else {
3661 if ( (setwd13[LA(1)]&0x20) && (setwd13[LA(2)]&0x40) ) {
3713 if ( (setwd14[LA(1)]&0x1) && (setwd14[LA(2)]&0x2) ) {
36623714 #line 1551 "./verilog.g"
36633715 v_list_of_assignments();
36643716 }
3665 else {zzFAIL(2,zzerr48,zzerr49,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3717 else {zzFAIL(2,zzerr51,zzerr52,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
36663718 }
36673719 zzEXIT(zztasp2);
36683720 }
36703722 #line 1551 "./verilog.g"
36713723 zzmatch(V_SEMI); zzCONSUME;
36723724 }
3673 else {zzFAIL(1,zzerr50,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3674 }
3675 zzEXIT(zztasp1);
3676 return;
3677 fail:
3678 zzEXIT(zztasp1);
3679 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3680 zzresynch(setwd13, 0x80);
3725 else {zzFAIL(1,zzerr53,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3726 }
3727 zzEXIT(zztasp1);
3728 return;
3729 fail:
3730 zzEXIT(zztasp1);
3731 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3732 zzresynch(setwd14, 0x4);
36813733 }
36823734 }
36833735
36933745 zzBLOCK(zztasp1);
36943746 zzMake0;
36953747 {
3696 if ( (LA(1)==V_LP) ) {
3748 if ( (LA(1)==V_LP)
3749 ) {
36973750 #line 1554 "./verilog.g"
36983751 v_drive_strength();
36993752 }
37003753 else {
3701 if ( (setwd14[LA(1)]&0x1)
3702 ) {
3703 }
3704 else {zzFAIL(1,zzerr51,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3705 }
3706 zzEXIT(zztasp1);
3707 return;
3708 fail:
3709 zzEXIT(zztasp1);
3710 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3711 zzresynch(setwd14, 0x2);
3754 if ( (setwd14[LA(1)]&0x8) ) {
3755 }
3756 else {zzFAIL(1,zzerr54,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3757 }
3758 zzEXIT(zztasp1);
3759 return;
3760 fail:
3761 zzEXIT(zztasp1);
3762 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3763 zzresynch(setwd14, 0x10);
37123764 }
37133765 }
37143766
37243776 zzBLOCK(zztasp1);
37253777 zzMake0;
37263778 {
3727 if ( (setwd14[LA(1)]&0x4) ) {
3779 if ( (setwd14[LA(1)]&0x20) ) {
37283780 #line 1558 "./verilog.g"
37293781 v_expandrange();
37303782 }
37313783 else {
3732 if ( (setwd14[LA(1)]&0x8) ) {
3733 }
3734 else {zzFAIL(1,zzerr52,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3735 }
3736 zzEXIT(zztasp1);
3737 return;
3738 fail:
3739 zzEXIT(zztasp1);
3740 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3741 zzresynch(setwd14, 0x10);
3784 if ( (setwd14[LA(1)]&0x40) ) {
3785 }
3786 else {zzFAIL(1,zzerr55,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3787 }
3788 zzEXIT(zztasp1);
3789 return;
3790 fail:
3791 zzEXIT(zztasp1);
3792 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3793 zzresynch(setwd14, 0x80);
37423794 }
37433795 }
37443796
37593811 v_delay();
37603812 }
37613813 else {
3762 if ( (setwd14[LA(1)]&0x20) ) {
3763 }
3764 else {zzFAIL(1,zzerr53,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3765 }
3766 zzEXIT(zztasp1);
3767 return;
3768 fail:
3769 zzEXIT(zztasp1);
3770 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3771 zzresynch(setwd14, 0x40);
3814 if ( (setwd15[LA(1)]&0x1)
3815 ) {
3816 }
3817 else {zzFAIL(1,zzerr56,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3818 }
3819 zzEXIT(zztasp1);
3820 return;
3821 fail:
3822 zzEXIT(zztasp1);
3823 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3824 zzresynch(setwd15, 0x2);
37723825 }
37733826 }
37743827
37953848 fail:
37963849 zzEXIT(zztasp1);
37973850 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3798 zzresynch(setwd14, 0x80);
3851 zzresynch(setwd15, 0x4);
37993852 }
38003853 }
38013854
38203873 zzBLOCK(zztasp2);
38213874 zzMake0;
38223875 {
3823 while ( (LA(1)==V_COMMA)
3824 ) {
3876 while ( (LA(1)==V_COMMA) ) {
38253877 #line 1571 "./verilog.g"
38263878 zzmatch(V_COMMA); zzCONSUME;
38273879 #line 1571 "./verilog.g"
38383890 fail:
38393891 zzEXIT(zztasp1);
38403892 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3841 zzresynch(setwd15, 0x1);
3893 zzresynch(setwd15, 0x8);
38423894 }
38433895 }
38443896
38643916 v_range();
38653917 }
38663918 else {
3867 if ( (setwd15[LA(1)]&0x2) ) {
3868 }
3869 else {zzFAIL(1,zzerr54,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3919 if ( (setwd15[LA(1)]&0x10) ) {
3920 }
3921 else {zzFAIL(1,zzerr57,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
38703922 }
38713923 zzEXIT(zztasp2);
38723924 }
38763928 fail:
38773929 zzEXIT(zztasp1);
38783930 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3879 zzresynch(setwd15, 0x4);
3931 zzresynch(setwd15, 0x20);
38803932 }
38813933 }
38823934
38993951 fail:
39003952 zzEXIT(zztasp1);
39013953 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3902 zzresynch(setwd15, 0x8);
3954 zzresynch(setwd15, 0x40);
39033955 }
39043956 }
39053957
39373989 fail:
39383990 zzEXIT(zztasp1);
39393991 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3940 zzresynch(setwd15, 0x10);
3992 zzresynch(setwd15, 0x80);
39413993 }
39423994 }
39433995
39534005 zzBLOCK(zztasp1);
39544006 zzMake0;
39554007 {
3956 if ( (setwd15[LA(1)]&0x20) && (setwd15[LA(2)]&0x40) ) {
4008 if ( (setwd16[LA(1)]&0x1) &&
4009 (setwd16[LA(2)]&0x2) ) {
39574010 #line 1584 "./verilog.g"
39584011 v_name_of_register();
39594012 }
39604013 else {
3961 if ( (setwd15[LA(1)]&0x80) &&
3962 (LA(2)==V_EQ) ) {
4014 if ( (setwd16[LA(1)]&0x4) && (LA(2)==V_EQ) ) {
39634015 #line 1585 "./verilog.g"
39644016 v_name_of_register();
39654017 #line 1585 "./verilog.g"
39684020 v_expression();
39694021 }
39704022 else {
3971 if ( (setwd16[LA(1)]&0x1) && (LA(2)==V_LBRACK) ) {
4023 if ( (setwd16[LA(1)]&0x8) && (LA(2)==V_LBRACK) ) {
39724024 #line 1586 "./verilog.g"
39734025 v_name_of_memory();
39744026 #line 1586 "./verilog.g"
39824034 #line 1587 "./verilog.g"
39834035 zzmatch(V_RBRACK); zzCONSUME;
39844036 }
3985 else {zzFAIL(2,zzerr55,zzerr56,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
3986 }
3987 }
3988 zzEXIT(zztasp1);
3989 return;
3990 fail:
3991 zzEXIT(zztasp1);
3992 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
3993 zzresynch(setwd16, 0x2);
4037 else {zzFAIL(2,zzerr58,zzerr59,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4038 }
4039 }
4040 zzEXIT(zztasp1);
4041 return;
4042 fail:
4043 zzEXIT(zztasp1);
4044 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4045 zzresynch(setwd16, 0x10);
39944046 }
39954047 }
39964048
40134065 fail:
40144066 zzEXIT(zztasp1);
40154067 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4016 zzresynch(setwd16, 0x4);
4068 zzresynch(setwd16, 0x20);
40174069 }
40184070 }
40194071
40364088 fail:
40374089 zzEXIT(zztasp1);
40384090 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4039 zzresynch(setwd16, 0x8);
4091 zzresynch(setwd16, 0x40);
40404092 }
40414093 }
40424094
40594111 fail:
40604112 zzEXIT(zztasp1);
40614113 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4062 zzresynch(setwd16, 0x10);
4114 zzresynch(setwd16, 0x80);
40634115 }
40644116 }
40654117
40924144 zzmatch(V_MEDIUM); zzCONSUME;
40934145 }
40944146 else {
4095 if ( (LA(1)==V_LARGE) ) {
4147 if ( (LA(1)==V_LARGE)
4148 ) {
40964149 #line 1601 "./verilog.g"
40974150 zzmatch(V_LARGE); zzCONSUME;
40984151 }
40994152 else {
4100 if ( (setwd16[LA(1)]&0x20)
4101 ) {
4153 if ( (setwd17[LA(1)]&0x1) ) {
41024154 #line 1602 "./verilog.g"
41034155 v_strength0();
41044156 #line 1602 "./verilog.g"
41074159 v_strength1();
41084160 }
41094161 else {
4110 if ( (setwd16[LA(1)]&0x40) ) {
4162 if ( (setwd17[LA(1)]&0x2) ) {
41114163 #line 1603 "./verilog.g"
41124164 v_strength1();
41134165 #line 1603 "./verilog.g"
41154167 #line 1603 "./verilog.g"
41164168 v_strength0();
41174169 }
4118 else {zzFAIL(1,zzerr57,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4170 else {zzFAIL(1,zzerr60,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
41194171 }
41204172 }
41214173 }
41304182 fail:
41314183 zzEXIT(zztasp1);
41324184 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4133 zzresynch(setwd16, 0x80);
4185 zzresynch(setwd17, 0x4);
41344186 }
41354187 }
41364188
41534205 zzBLOCK(zztasp2);
41544206 zzMake0;
41554207 {
4156 if ( (setwd17[LA(1)]&0x1) ) {
4208 if ( (setwd17[LA(1)]&0x8) ) {
41574209 #line 1606 "./verilog.g"
41584210 v_strength0();
41594211 #line 1606 "./verilog.g"
41624214 v_strength1();
41634215 }
41644216 else {
4165 if ( (setwd17[LA(1)]&0x2) ) {
4217 if ( (setwd17[LA(1)]&0x10) ) {
41664218 #line 1607 "./verilog.g"
41674219 v_strength1();
41684220 #line 1607 "./verilog.g"
41704222 #line 1607 "./verilog.g"
41714223 v_strength0();
41724224 }
4173 else {zzFAIL(1,zzerr58,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4225 else {zzFAIL(1,zzerr61,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
41744226 }
41754227 zzEXIT(zztasp2);
41764228 }
41824234 fail:
41834235 zzEXIT(zztasp1);
41844236 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4185 zzresynch(setwd17, 0x4);
4237 zzresynch(setwd17, 0x20);
41864238 }
41874239 }
41884240
41984250 zzBLOCK(zztasp1);
41994251 zzMake0;
42004252 {
4201 if ( (LA(1)==V_SUPPLY0) ) {
4253 if ( (LA(1)==V_SUPPLY0)
4254 ) {
42024255 #line 1610 "./verilog.g"
42034256 zzmatch(V_SUPPLY0); zzCONSUME;
42044257 }
42054258 else {
4206 if ( (LA(1)==V_STRONG0)
4207 ) {
4259 if ( (LA(1)==V_STRONG0) ) {
42084260 #line 1611 "./verilog.g"
42094261 zzmatch(V_STRONG0); zzCONSUME;
42104262 }
42234275 #line 1614 "./verilog.g"
42244276 zzmatch(V_HIGHZ0); zzCONSUME;
42254277 }
4226 else {zzFAIL(1,zzerr59,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4278 else {zzFAIL(1,zzerr62,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
42274279 }
42284280 }
42294281 }
42334285 fail:
42344286 zzEXIT(zztasp1);
42354287 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4236 zzresynch(setwd17, 0x8);
4288 zzresynch(setwd17, 0x40);
42374289 }
42384290 }
42394291
42494301 zzBLOCK(zztasp1);
42504302 zzMake0;
42514303 {
4252 if ( (LA(1)==V_SUPPLY1) ) {
4304 if ( (LA(1)==V_SUPPLY1)
4305 ) {
42534306 #line 1617 "./verilog.g"
42544307 zzmatch(V_SUPPLY1); zzCONSUME;
42554308 }
42564309 else {
4257 if ( (LA(1)==V_STRONG1)
4258 ) {
4310 if ( (LA(1)==V_STRONG1) ) {
42594311 #line 1618 "./verilog.g"
42604312 zzmatch(V_STRONG1); zzCONSUME;
42614313 }
42744326 #line 1621 "./verilog.g"
42754327 zzmatch(V_HIGHZ1); zzCONSUME;
42764328 }
4277 else {zzFAIL(1,zzerr60,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4329 else {zzFAIL(1,zzerr63,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
42784330 }
42794331 }
42804332 }
42844336 fail:
42854337 zzEXIT(zztasp1);
42864338 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4287 zzresynch(setwd17, 0x10);
4339 zzresynch(setwd17, 0x80);
42884340 }
42894341 }
42904342
43004352 zzBLOCK(zztasp1);
43014353 zzMake0;
43024354 {
4303 if ( (LA(1)==V_SIGNED) ) {
4355 if ( (LA(1)==V_SIGNED)
4356 ) {
43044357 #line 1625 "./verilog.g"
43054358 zzmatch(V_SIGNED); zzCONSUME;
43064359 }
43074360 else {
4308 if ( (setwd17[LA(1)]&0x20)
4309 ) {
4310 }
4311 else {zzFAIL(1,zzerr61,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4312 }
4313 zzEXIT(zztasp1);
4314 return;
4315 fail:
4316 zzEXIT(zztasp1);
4317 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4318 zzresynch(setwd17, 0x40);
4361 if ( (setwd18[LA(1)]&0x1) ) {
4362 }
4363 else {zzFAIL(1,zzerr64,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4364 }
4365 zzEXIT(zztasp1);
4366 return;
4367 fail:
4368 zzEXIT(zztasp1);
4369 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4370 zzresynch(setwd18, 0x2);
43194371 }
43204372 }
43214373
43464398 fail:
43474399 zzEXIT(zztasp1);
43484400 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4349 zzresynch(setwd17, 0x80);
4401 zzresynch(setwd18, 0x4);
43504402 }
43514403 }
43524404
43844436 fail:
43854437 zzEXIT(zztasp1);
43864438 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4387 zzresynch(setwd18, 0x1);
4439 zzresynch(setwd18, 0x8);
43884440 }
43894441 }
43904442
44304482 fail:
44314483 zzEXIT(zztasp1);
44324484 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4433 zzresynch(setwd18, 0x2);
4485 zzresynch(setwd18, 0x10);
44344486 }
44354487 }
44364488
44514503 zzmatch(V_ANDLIT); zzCONSUME;
44524504 }
44534505 else {
4454 if ( (LA(1)==V_NANDLIT) ) {
4506 if ( (LA(1)==V_NANDLIT)
4507 ) {
44554508 #line 1645 "./verilog.g"
44564509 zzmatch(V_NANDLIT); zzCONSUME;
44574510 }
44584511 else {
4459 if ( (LA(1)==V_ORLIT)
4460 ) {
4512 if ( (LA(1)==V_ORLIT) ) {
44614513 #line 1645 "./verilog.g"
44624514 zzmatch(V_ORLIT); zzCONSUME;
44634515 }
44774529 zzmatch(V_XNORLIT); zzCONSUME;
44784530 }
44794531 else {
4480 if ( (LA(1)==V_BUF) ) {
4532 if ( (LA(1)==V_BUF)
4533 ) {
44814534 #line 1646 "./verilog.g"
44824535 zzmatch(V_BUF); zzCONSUME;
44834536 }
44844537 else {
4485 if ( (LA(1)==V_BUFIF0)
4486 ) {
4538 if ( (LA(1)==V_BUFIF0) ) {
44874539 #line 1646 "./verilog.g"
44884540 zzmatch(V_BUFIF0); zzCONSUME;
44894541 }
45034555 zzmatch(V_NOTIF0); zzCONSUME;
45044556 }
45054557 else {
4506 if ( (LA(1)==V_NOTIF1) ) {
4558 if ( (LA(1)==V_NOTIF1)
4559 ) {
45074560 #line 1646 "./verilog.g"
45084561 zzmatch(V_NOTIF1); zzCONSUME;
45094562 }
45104563 else {
4511 if ( (LA(1)==V_PULLDOWN)
4512 ) {
4564 if ( (LA(1)==V_PULLDOWN) ) {
45134565 #line 1646 "./verilog.g"
45144566 zzmatch(V_PULLDOWN); zzCONSUME;
45154567 }
45294581 zzmatch(V_RNMOS); zzCONSUME;
45304582 }
45314583 else {
4532 if ( (LA(1)==V_PMOS) ) {
4584 if ( (LA(1)==V_PMOS)
4585 ) {
45334586 #line 1647 "./verilog.g"
45344587 zzmatch(V_PMOS); zzCONSUME;
45354588 }
45364589 else {
4537 if ( (LA(1)==V_RPMOS)
4538 ) {
4590 if ( (LA(1)==V_RPMOS) ) {
45394591 #line 1647 "./verilog.g"
45404592 zzmatch(V_RPMOS); zzCONSUME;
45414593 }
45554607 zzmatch(V_TRAN); zzCONSUME;
45564608 }
45574609 else {
4558 if ( (LA(1)==V_RTRAN) ) {
4610 if ( (LA(1)==V_RTRAN)
4611 ) {
45594612 #line 1647 "./verilog.g"
45604613 zzmatch(V_RTRAN); zzCONSUME;
45614614 }
45624615 else {
4563 if ( (LA(1)==V_TRANIF0)
4564 ) {
4616 if ( (LA(1)==V_TRANIF0) ) {
45654617 #line 1648 "./verilog.g"
45664618 zzmatch(V_TRANIF0); zzCONSUME;
45674619 }
45804632 #line 1648 "./verilog.g"
45814633 zzmatch(V_RTRANIF1); zzCONSUME;
45824634 }
4583 else {zzFAIL(1,zzerr62,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4635 else {zzFAIL(1,zzerr65,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
45844636 }
45854637 }
45864638 }
46114663 fail:
46124664 zzEXIT(zztasp1);
46134665 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4614 zzresynch(setwd18, 0x4);
4666 zzresynch(setwd18, 0x20);
46154667 }
46164668 }
46174669
46274679 zzBLOCK(zztasp1);
46284680 zzMake0;
46294681 {
4630 if ( (LA(1)==V_LP) && (setwd18[LA(2)]&0x8) ) {
4682 if ( (LA(1)==V_LP) &&
4683 (setwd18[LA(2)]&0x40) ) {
46314684 #line 1651 "./verilog.g"
46324685 v_drive_strength();
46334686 }
46344687 else {
4635 if ( (setwd18[LA(1)]&0x10) &&
4636 (setwd18[LA(2)]&0x20) ) {
4637 }
4638 else {zzFAIL(2,zzerr63,zzerr64,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4639 }
4640 zzEXIT(zztasp1);
4641 return;
4642 fail:
4643 zzEXIT(zztasp1);
4644 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4645 zzresynch(setwd18, 0x40);
4688 if ( (setwd18[LA(1)]&0x80) && (setwd19[LA(2)]&0x1) ) {
4689 }
4690 else {zzFAIL(2,zzerr66,zzerr67,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4691 }
4692 zzEXIT(zztasp1);
4693 return;
4694 fail:
4695 zzEXIT(zztasp1);
4696 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4697 zzresynch(setwd19, 0x2);
46464698 }
46474699 }
46484700
46634715 v_delay();
46644716 }
46654717 else {
4666 if ( (setwd18[LA(1)]&0x80) ) {
4667 }
4668 else {zzFAIL(1,zzerr65,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4669 }
4670 zzEXIT(zztasp1);
4671 return;
4672 fail:
4673 zzEXIT(zztasp1);
4674 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4675 zzresynch(setwd19, 0x1);
4718 if ( (setwd19[LA(1)]&0x4) ) {
4719 }
4720 else {zzFAIL(1,zzerr68,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4721 }
4722 zzEXIT(zztasp1);
4723 return;
4724 fail:
4725 zzEXIT(zztasp1);
4726 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4727 zzresynch(setwd19, 0x8);
46764728 }
46774729 }
46784730
46934745 v_range();
46944746 }
46954747 else {
4696 if ( (LA(1)==V_LP) ) {
4697 }
4698 else {zzFAIL(1,zzerr66,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4699 }
4700 zzEXIT(zztasp1);
4701 return;
4702 fail:
4703 zzEXIT(zztasp1);
4704 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4705 zzresynch(setwd19, 0x2);
4748 if ( (LA(1)==V_LP)
4749 ) {
4750 }
4751 else {zzFAIL(1,zzerr69,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4752 }
4753 zzEXIT(zztasp1);
4754 return;
4755 fail:
4756 zzEXIT(zztasp1);
4757 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4758 zzresynch(setwd19, 0x10);
47064759 }
47074760 }
47084761
47294782 zzBLOCK(zztasp2);
47304783 zzMake0;
47314784 {
4732 while ( (LA(1)==V_COMMA)
4733 ) {
4785 while ( (LA(1)==V_COMMA) ) {
47344786 #line 1665 "./verilog.g"
47354787 zzmatch(V_COMMA); zzCONSUME;
47364788 #line 1665 "./verilog.g"
47474799 fail:
47484800 zzEXIT(zztasp1);
47494801 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4750 zzresynch(setwd19, 0x4);
4802 zzresynch(setwd19, 0x20);
47514803 }
47524804 }
47534805
47634815 zzBLOCK(zztasp1);
47644816 zzMake0;
47654817 {
4766 if ( (setwd19[LA(1)]&0x8) ) {
4818 if ( (setwd19[LA(1)]&0x40) ) {
47674819 #line 1668 "./verilog.g"
47684820 v_identifier_nodot();
47694821 #line 1668 "./verilog.g"
47724824 else {
47734825 if ( (LA(1)==V_LP) ) {
47744826 }
4775 else {zzFAIL(1,zzerr67,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4776 }
4777 zzEXIT(zztasp1);
4778 return;
4779 fail:
4780 zzEXIT(zztasp1);
4781 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4782 zzresynch(setwd19, 0x10);
4827 else {zzFAIL(1,zzerr70,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4828 }
4829 zzEXIT(zztasp1);
4830 return;
4831 fail:
4832 zzEXIT(zztasp1);
4833 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4834 zzresynch(setwd19, 0x80);
47834835 }
47844836 }
47854837
47954847 zzBLOCK(zztasp1);
47964848 zzMake0;
47974849 {
4798 if ( (setwd19[LA(1)]&0x20) ) {
4850 if ( (setwd20[LA(1)]&0x1) ) {
47994851 #line 1672 "./verilog.g"
48004852 v_expression();
48014853 }
48024854 else {
4803 if ( (setwd19[LA(1)]&0x40) ) {
4804 }
4805 else {zzFAIL(1,zzerr68,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4806 }
4807 zzEXIT(zztasp1);
4808 return;
4809 fail:
4810 zzEXIT(zztasp1);
4811 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4812 zzresynch(setwd19, 0x80);
4855 if ( (setwd20[LA(1)]&0x2)
4856 ) {
4857 }
4858 else {zzFAIL(1,zzerr71,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4859 }
4860 zzEXIT(zztasp1);
4861 return;
4862 fail:
4863 zzEXIT(zztasp1);
4864 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4865 zzresynch(setwd20, 0x4);
48134866 }
48144867 }
48154868
48384891 zzBLOCK(zztasp2);
48394892 zzMake0;
48404893 {
4841 while ( (LA(1)==V_COMMA)
4842 ) {
4894 while ( (LA(1)==V_COMMA) ) {
48434895 #line 1677 "./verilog.g"
48444896 zzmatch(V_COMMA); zzCONSUME;
48454897 #line 1677 "./verilog.g"
48564908 fail:
48574909 zzEXIT(zztasp1);
48584910 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4859 zzresynch(setwd20, 0x1);
4911 zzresynch(setwd20, 0x8);
48604912 }
48614913 }
48624914
48814933 fail:
48824934 zzEXIT(zztasp1);
48834935 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4884 zzresynch(setwd20, 0x2);
4936 zzresynch(setwd20, 0x10);
48854937 }
48864938 }
48874939
49254977 fail:
49264978 zzEXIT(zztasp1);
49274979 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4928 zzresynch(setwd20, 0x4);
4980 zzresynch(setwd20, 0x20);
49294981 }
49304982 }
49314983
49414993 zzBLOCK(zztasp1);
49424994 zzMake0;
49434995 {
4944 if ( (setwd20[LA(1)]&0x8) ) {
4996 if ( (setwd20[LA(1)]&0x40) ) {
49454997 #line 1688 "./verilog.g"
49464998 v_identifier_nodot();
49474999 #line 1688 "./verilog.g"
49505002 else {
49515003 if ( (LA(1)==V_LP) ) {
49525004 }
4953 else {zzFAIL(1,zzerr69,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
4954 }
4955 zzEXIT(zztasp1);
4956 return;
4957 fail:
4958 zzEXIT(zztasp1);
4959 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
4960 zzresynch(setwd20, 0x10);
5005 else {zzFAIL(1,zzerr72,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5006 }
5007 zzEXIT(zztasp1);
5008 return;
5009 fail:
5010 zzEXIT(zztasp1);
5011 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5012 zzresynch(setwd20, 0x80);
49615013 }
49625014 }
49635015
49955047 zzBLOCK(zztasp2);
49965048 zzMake0;
49975049 {
4998 while ( (LA(1)==V_COMMA) ) {
5050 while ( (LA(1)==V_COMMA)
5051 ) {
49995052 #line 1710 "./verilog.g"
50005053 zzmatch(V_COMMA); zzCONSUME;
50015054 #line 1710 "./verilog.g"
50215074 fail:
50225075 zzEXIT(zztasp1);
50235076 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5024 zzresynch(setwd20, 0x20);
5077 zzresynch(setwd21, 0x1);
50255078 }
50265079 }
50275080
50465099 fail:
50475100 zzEXIT(zztasp1);
50485101 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5049 zzresynch(setwd20, 0x40);
5102 zzresynch(setwd21, 0x2);
50505103 }
50515104 }
50525105
50625115 zzBLOCK(zztasp1);
50635116 zzMake0;
50645117 {
5065 if ( (LA(1)==V_POUND) &&
5066 (LA(2)==V_LP) ) {
5118 if ( (LA(1)==V_POUND) && (LA(2)==V_LP) ) {
50675119 #line 1724 "./verilog.g"
50685120 zzmatch(V_POUND); zzCONSUME;
50695121 #line 1724 "./verilog.g"
50745126 zzmatch(V_RP); zzCONSUME;
50755127 }
50765128 else {
5077 if ( (LA(1)==V_POUND) && (setwd20[LA(2)]&0x80) ) {
5129 if ( (LA(1)==V_POUND) && (setwd21[LA(2)]&0x4) ) {
50785130 #line 1725 "./verilog.g"
50795131 zzmatch(V_POUND); zzCONSUME;
50805132 #line 1725 "./verilog.g"
50815133 v_number();
50825134 }
50835135 else {
5084 if ( (setwd21[LA(1)]&0x1) ) {
5085 }
5086 else {zzFAIL(2,zzerr70,zzerr71,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5087 }
5088 }
5089 zzEXIT(zztasp1);
5090 return;
5091 fail:
5092 zzEXIT(zztasp1);
5093 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5094 zzresynch(setwd21, 0x2);
5136 if ( (setwd21[LA(1)]&0x8) ) {
5137 }
5138 else {zzFAIL(2,zzerr73,zzerr74,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5139 }
5140 }
5141 zzEXIT(zztasp1);
5142 return;
5143 fail:
5144 zzEXIT(zztasp1);
5145 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5146 zzresynch(setwd21, 0x10);
50955147 }
50965148 }
50975149
51205172 fail:
51215173 zzEXIT(zztasp1);
51225174 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5123 zzresynch(setwd21, 0x4);
5175 zzresynch(setwd21, 0x20);
51245176 }
51255177 }
51265178
51365188 zzBLOCK(zztasp1);
51375189 zzMake0;
51385190 {
5139 if ( (setwd21[LA(1)]&0x8) ) {
5191 if ( (setwd21[LA(1)]&0x40) ) {
51405192 #line 1733 "./verilog.g"
51415193 v_name_of_instance();
51425194 }
51435195 else {
5144 if ( (LA(1)==V_LP) ) {
5145 }
5146 else {zzFAIL(1,zzerr72,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5147 }
5148 zzEXIT(zztasp1);
5149 return;
5150 fail:
5151 zzEXIT(zztasp1);
5152 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5153 zzresynch(setwd21, 0x10);
5196 if ( (LA(1)==V_LP)
5197 ) {
5198 }
5199 else {zzFAIL(1,zzerr75,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5200 }
5201 zzEXIT(zztasp1);
5202 return;
5203 fail:
5204 zzEXIT(zztasp1);
5205 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5206 zzresynch(setwd21, 0x80);
51545207 }
51555208 }
51565209
51845237 fail:
51855238 zzEXIT(zztasp1);
51865239 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5187 zzresynch(setwd21, 0x20);
5240 zzresynch(setwd22, 0x1);
51885241 }
51895242 }
51905243
52005253 zzBLOCK(zztasp1);
52015254 zzMake0;
52025255 {
5203 if ( (LA(1)==V_LBRACK)
5204 ) {
5256 if ( (LA(1)==V_LBRACK) ) {
52055257 #line 1749 "./verilog.g"
52065258 v_range();
52075259 }
52085260 else {
52095261 if ( (LA(1)==V_LP) ) {
52105262 }
5211 else {zzFAIL(1,zzerr73,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5212 }
5213 zzEXIT(zztasp1);
5214 return;
5215 fail:
5216 zzEXIT(zztasp1);
5217 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5218 zzresynch(setwd21, 0x40);
5263 else {zzFAIL(1,zzerr76,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5264 }
5265 zzEXIT(zztasp1);
5266 return;
5267 fail:
5268 zzEXIT(zztasp1);
5269 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5270 zzresynch(setwd22, 0x2);
52195271 }
52205272 }
52215273
52315283 zzBLOCK(zztasp1);
52325284 zzMake0;
52335285 {
5234 if ( (setwd21[LA(1)]&0x80) ) {
5286 if ( (setwd22[LA(1)]&0x4) ) {
52355287 #line 1753 "./verilog.g"
52365288 v_module_port_connection();
52375289 #line 1753 "./verilog.g"
52515303 }
52525304 }
52535305 else {
5254 if ( (LA(1)==V_DOT) ) {
5306 if ( (LA(1)==V_DOT)
5307 ) {
52555308 #line 1754 "./verilog.g"
52565309 v_named_port_connection();
52575310 #line 1754 "./verilog.g"
52595312 zzBLOCK(zztasp2);
52605313 zzMake0;
52615314 {
5262 while ( (LA(1)==V_COMMA)
5263 ) {
5315 while ( (LA(1)==V_COMMA) ) {
52645316 #line 1754 "./verilog.g"
52655317 zzmatch(V_COMMA); zzCONSUME;
52665318 #line 1754 "./verilog.g"
52715323 }
52725324 }
52735325 }
5274 else {zzFAIL(1,zzerr74,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5275 }
5276 zzEXIT(zztasp1);
5277 return;
5278 fail:
5279 zzEXIT(zztasp1);
5280 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5281 zzresynch(setwd22, 0x1);
5326 else {zzFAIL(1,zzerr77,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5327 }
5328 zzEXIT(zztasp1);
5329 return;
5330 fail:
5331 zzEXIT(zztasp1);
5332 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5333 zzresynch(setwd22, 0x8);
52825334 }
52835335 }
52845336
52945346 zzBLOCK(zztasp1);
52955347 zzMake0;
52965348 {
5297 if ( (setwd22[LA(1)]&0x2) ) {
5349 if ( (setwd22[LA(1)]&0x10) ) {
52985350 #line 1757 "./verilog.g"
52995351 v_expression();
53005352 }
53015353 else {
5302 if ( (setwd22[LA(1)]&0x4) ) {
5303 }
5304 else {zzFAIL(1,zzerr75,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5305 }
5306 zzEXIT(zztasp1);
5307 return;
5308 fail:
5309 zzEXIT(zztasp1);
5310 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5311 zzresynch(setwd22, 0x8);
5354 if ( (setwd22[LA(1)]&0x20) ) {
5355 }
5356 else {zzFAIL(1,zzerr78,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5357 }
5358 zzEXIT(zztasp1);
5359 return;
5360 fail:
5361 zzEXIT(zztasp1);
5362 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5363 zzresynch(setwd22, 0x40);
53125364 }
53135365 }
53145366
53355387 zzBLOCK(zztasp2);
53365388 zzMake0;
53375389 {
5338 if ( (setwd22[LA(1)]&0x10) ) {
5390 if ( (setwd22[LA(1)]&0x80) ) {
53395391 #line 1761 "./verilog.g"
53405392 v_expression();
53415393 }
53425394 else {
5343 if ( (LA(1)==V_RP) ) {
5344 }
5345 else {zzFAIL(1,zzerr76,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5395 if ( (LA(1)==V_RP)
5396 ) {
5397 }
5398 else {zzFAIL(1,zzerr79,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
53465399 }
53475400 zzEXIT(zztasp2);
53485401 }
53545407 fail:
53555408 zzEXIT(zztasp1);
53565409 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5357 zzresynch(setwd22, 0x20);
5410 zzresynch(setwd23, 0x1);
53585411 }
53595412 }
53605413
53795432 fail:
53805433 zzEXIT(zztasp1);
53815434 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5382 zzresynch(setwd22, 0x40);
5435 zzresynch(setwd23, 0x2);
53835436 }
53845437 }
53855438
54045457 fail:
54055458 zzEXIT(zztasp1);
54065459 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5407 zzresynch(setwd22, 0x80);
5460 zzresynch(setwd23, 0x4);
54085461 }
54095462 }
54105463
54205473 zzBLOCK(zztasp1);
54215474 zzMake0;
54225475 {
5423 if ( (setwd23[LA(1)]&0x1)
5424 ) {
5476 if ( (setwd23[LA(1)]&0x8) ) {
54255477 #line 1775 "./verilog.g"
54265478 v_statement();
54275479 }
54305482 #line 1776 "./verilog.g"
54315483 zzmatch(V_SEMI); zzCONSUME;
54325484 }
5433 else {zzFAIL(1,zzerr77,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5434 }
5435 zzEXIT(zztasp1);
5436 return;
5437 fail:
5438 zzEXIT(zztasp1);
5439 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5440 zzresynch(setwd23, 0x2);
5485 else {zzFAIL(1,zzerr80,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5486 }
5487 zzEXIT(zztasp1);
5488 return;
5489 fail:
5490 zzEXIT(zztasp1);
5491 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5492 zzresynch(setwd23, 0x10);
54415493 }
54425494 }
54435495
54535505 zzBLOCK(zztasp1);
54545506 zzMake0;
54555507 {
5456 if ( (setwd23[LA(1)]&0x4) && (setwd23[LA(2)]&0x8) && !(
5508 if ( (setwd23[LA(1)]&0x20) && (setwd23[LA(2)]&0x40) && !(
54575509 LA(1)==V_IDENTIFIER && LA(2)==V_LP
54585510 || LA(1)==V_IDENTIFIER2 && LA(2)==V_LP
54595511 || LA(1)==V_FUNCTION_NAME && LA(2)==V_LP
54815533 zzBLOCK(zztasp2);
54825534 zzMake0;
54835535 {
5484 if ( (LA(1)==V_ELSE) && (setwd23[LA(2)]&0x10) ) {
5536 if ( (LA(1)==V_ELSE) &&
5537 (setwd23[LA(2)]&0x80) ) {
54855538 #line 1781 "./verilog.g"
54865539 zzmatch(V_ELSE); zzCONSUME;
54875540 #line 1781 "./verilog.g"
54885541 v_statement_or_null();
54895542 }
54905543 else {
5491 if ( (setwd23[LA(1)]&0x20) &&
5492 (setwd23[LA(2)]&0x40) ) {
5544 if ( (setwd24[LA(1)]&0x1) && (setwd24[LA(2)]&0x2) ) {
54935545 }
5494 else {zzFAIL(2,zzerr78,zzerr79,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5546 else {zzFAIL(2,zzerr81,zzerr82,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
54955547 }
54965548 zzEXIT(zztasp2);
54975549 }
55175569 #line 1782 "./verilog.g"
55185570 v_case_item();
55195571 zzLOOP(zztasp2);
5520 } while ( (setwd23[LA(1)]&0x80) );
5572 } while ( (setwd24[LA(1)]&0x4) );
55215573 zzEXIT(zztasp2);
55225574 }
55235575 }
55445596 #line 1783 "./verilog.g"
55455597 v_case_item();
55465598 zzLOOP(zztasp2);
5547 } while ( (setwd24[LA(1)]&0x1) );
5599 } while ( (setwd24[LA(1)]&0x8)
5600 );
55485601 zzEXIT(zztasp2);
55495602 }
55505603 }
55525605 zzmatch(V_ENDCASE); zzCONSUME;
55535606 }
55545607 else {
5555 if ( (LA(1)==V_CASEZ)
5556 ) {
5608 if ( (LA(1)==V_CASEZ) ) {
55575609 #line 1784 "./verilog.g"
55585610 zzmatch(V_CASEZ); zzCONSUME;
55595611 #line 1784 "./verilog.g"
55725624 #line 1784 "./verilog.g"
55735625 v_case_item();
55745626 zzLOOP(zztasp2);
5575 } while ( (setwd24[LA(1)]&0x2) );
5627 } while ( (setwd24[LA(1)]&0x10) );
55765628 zzEXIT(zztasp2);
55775629 }
55785630 }
56005652 v_statement();
56015653 }
56025654 else {
5603 if ( (LA(1)==V_WHILE) ) {
5655 if ( (LA(1)==V_WHILE)
5656 ) {
56045657 #line 1787 "./verilog.g"
56055658 zzmatch(V_WHILE); zzCONSUME;
56065659 #line 1787 "./verilog.g"
56135666 v_statement();
56145667 }
56155668 else {
5616 if ( (LA(1)==V_FOR)
5617 ) {
5669 if ( (LA(1)==V_FOR) ) {
56185670 #line 1788 "./verilog.g"
56195671 zzmatch(V_FOR); zzCONSUME;
56205672 #line 1788 "./verilog.g"
56355687 v_statement();
56365688 }
56375689 else {
5638 if ( (setwd24[LA(1)]&0x4) ) {
5690 if ( (setwd24[LA(1)]&0x20) ) {
56395691 #line 1790 "./verilog.g"
56405692 v_delay_or_event_control_stmt();
56415693 #line 1790 "./verilog.g"
56645716 zzmatch(V_SEMI); zzCONSUME;
56655717 }
56665718 else {
5667 if ( (LA(1)==V_BEGIN) ) {
5719 if ( (LA(1)==V_BEGIN)
5720 ) {
56685721 #line 1793 "./verilog.g"
56695722 v_seq_block();
56705723 }
56715724 else {
5672 if ( (LA(1)==V_FORK)
5673 ) {
5725 if ( (LA(1)==V_FORK) ) {
56745726 #line 1794 "./verilog.g"
56755727 v_par_block();
56765728 }
56775729 else {
5678 if ( (setwd24[LA(1)]&0x8) && (setwd24[LA(2)]&0x10) ) {
5730 if ( (setwd24[LA(1)]&0x40) && (setwd24[LA(2)]&0x80) ) {
56795731 #line 1795 "./verilog.g"
56805732 v_task_enable();
56815733 }
57065758 zzmatch(V_SEMI); zzCONSUME;
57075759 }
57085760 else {
5709 if ( (LA(1)==V_DEASSIGN) ) {
5761 if ( (LA(1)==V_DEASSIGN)
5762 ) {
57105763 #line 1798 "./verilog.g"
57115764 zzmatch(V_DEASSIGN); zzCONSUME;
57125765 #line 1798 "./verilog.g"
57155768 zzmatch(V_SEMI); zzCONSUME;
57165769 }
57175770 else {
5718 if ( (LA(1)==V_FORCE)
5719 ) {
5771 if ( (LA(1)==V_FORCE) ) {
57205772 #line 1799 "./verilog.g"
57215773 zzmatch(V_FORCE); zzCONSUME;
57225774 #line 1799 "./verilog.g"
57335785 #line 1800 "./verilog.g"
57345786 zzmatch(V_SEMI); zzCONSUME;
57355787 }
5736 else {zzFAIL(2,zzerr80,zzerr81,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5788 else {zzFAIL(2,zzerr83,zzerr84,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
57375789 }
57385790 }
57395791 }
57585810 fail:
57595811 zzEXIT(zztasp1);
57605812 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5761 zzresynch(setwd24, 0x20);
5813 zzresynch(setwd25, 0x1);
57625814 }
57635815 }
57645816
57855837 fail:
57865838 zzEXIT(zztasp1);
57875839 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5788 zzresynch(setwd24, 0x40);
5840 zzresynch(setwd25, 0x2);
57895841 }
57905842 }
57915843
58175869 #line 1807 "./verilog.g"
58185870 v_non_blocking_assignment();
58195871 }
5820 else {zzFAIL(1,zzerr82,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5872 else {zzFAIL(1,zzerr85,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
58215873 }
58225874 zzEXIT(zztasp2);
58235875 }
58275879 fail:
58285880 zzEXIT(zztasp1);
58295881 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5830 zzresynch(setwd24, 0x80);
5882 zzresynch(setwd25, 0x4);
58315883 }
58325884 }
58335885
58505902 zzBLOCK(zztasp2);
58515903 zzMake0;
58525904 {
5853 if ( (setwd25[LA(1)]&0x1) ) {
5905 if ( (setwd25[LA(1)]&0x8)
5906 ) {
58545907 #line 1810 "./verilog.g"
58555908 v_expression();
58565909 }
58575910 else {
5858 if ( (setwd25[LA(1)]&0x2)
5859 ) {
5911 if ( (setwd25[LA(1)]&0x10) ) {
58605912 #line 1811 "./verilog.g"
58615913 v_delay_or_event_control();
58625914 #line 1811 "./verilog.g"
58635915 v_expression();
58645916 }
5865 else {zzFAIL(1,zzerr83,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5917 else {zzFAIL(1,zzerr86,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
58665918 }
58675919 zzEXIT(zztasp2);
58685920 }
58725924 fail:
58735925 zzEXIT(zztasp1);
58745926 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5875 zzresynch(setwd25, 0x4);
5927 zzresynch(setwd25, 0x20);
58765928 }
58775929 }
58785930
58955947 zzBLOCK(zztasp2);
58965948 zzMake0;
58975949 {
5898 if ( (setwd25[LA(1)]&0x8) ) {
5950 if ( (setwd25[LA(1)]&0x40) ) {
58995951 #line 1814 "./verilog.g"
59005952 v_expression();
59015953 }
59025954 else {
5903 if ( (setwd25[LA(1)]&0x10) ) {
5955 if ( (setwd25[LA(1)]&0x80) ) {
59045956 #line 1815 "./verilog.g"
59055957 v_delay_or_event_control();
59065958 #line 1815 "./verilog.g"
59075959 v_expression();
59085960 }
5909 else {zzFAIL(1,zzerr84,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5961 else {zzFAIL(1,zzerr87,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
59105962 }
59115963 zzEXIT(zztasp2);
59125964 }
59165968 fail:
59175969 zzEXIT(zztasp1);
59185970 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5919 zzresynch(setwd25, 0x20);
5971 zzresynch(setwd26, 0x1);
59205972 }
59215973 }
59225974
59375989 v_delay_control();
59385990 }
59395991 else {
5940 if ( (LA(1)==V_AT) ) {
5992 if ( (LA(1)==V_AT)
5993 ) {
59415994 #line 1819 "./verilog.g"
59425995 v_event_control();
59435996 }
59445997 else {
5945 if ( (LA(1)==V_REPEAT)
5946 ) {
5998 if ( (LA(1)==V_REPEAT) ) {
59475999 #line 1820 "./verilog.g"
59486000 zzmatch(V_REPEAT); zzCONSUME;
59496001 #line 1820 "./verilog.g"
59556007 #line 1820 "./verilog.g"
59566008 v_event_control();
59576009 }
5958 else {zzFAIL(1,zzerr85,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5959 }
5960 }
5961 zzEXIT(zztasp1);
5962 return;
5963 fail:
5964 zzEXIT(zztasp1);
5965 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5966 zzresynch(setwd25, 0x40);
6010 else {zzFAIL(1,zzerr88,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6011 }
6012 }
6013 zzEXIT(zztasp1);
6014 return;
6015 fail:
6016 zzEXIT(zztasp1);
6017 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6018 zzresynch(setwd26, 0x2);
59676019 }
59686020 }
59696021
59886040 #line 1824 "./verilog.g"
59896041 v_event_control();
59906042 }
5991 else {zzFAIL(1,zzerr86,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
5992 }
5993 zzEXIT(zztasp1);
5994 return;
5995 fail:
5996 zzEXIT(zztasp1);
5997 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
5998 zzresynch(setwd25, 0x80);
6043 else {zzFAIL(1,zzerr89,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6044 }
6045 zzEXIT(zztasp1);
6046 return;
6047 fail:
6048 zzEXIT(zztasp1);
6049 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6050 zzresynch(setwd26, 0x4);
59996051 }
60006052 }
60016053
60116063 zzBLOCK(zztasp1);
60126064 zzMake0;
60136065 {
6014 if ( (setwd26[LA(1)]&0x1) ) {
6066 if ( (setwd26[LA(1)]&0x8) ) {
60156067 #line 1827 "./verilog.g"
60166068 v_explist();
60176069 #line 1828 "./verilog.g"
60206072 v_statement_or_null();
60216073 }
60226074 else {
6023 if ( (LA(1)==V_DEFAULT) ) {
6075 if ( (LA(1)==V_DEFAULT)
6076 ) {
60246077 #line 1829 "./verilog.g"
60256078 zzmatch(V_DEFAULT); zzCONSUME;
60266079 #line 1829 "./verilog.g"
60286081 zzBLOCK(zztasp2);
60296082 zzMake0;
60306083 {
6031 if ( (LA(1)==V_COLON)
6032 ) {
6084 if ( (LA(1)==V_COLON) ) {
60336085 #line 1829 "./verilog.g"
60346086 zzmatch(V_COLON); zzCONSUME;
60356087 #line 1829 "./verilog.g"
60366088 v_statement_or_null();
60376089 }
60386090 else {
6039 if ( (setwd26[LA(1)]&0x2) ) {
6091 if ( (setwd26[LA(1)]&0x10) ) {
60406092 #line 1830 "./verilog.g"
60416093 v_statement_or_null();
60426094 }
6043 else {zzFAIL(1,zzerr87,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6095 else {zzFAIL(1,zzerr90,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
60446096 }
60456097 zzEXIT(zztasp2);
60466098 }
60476099 }
60486100 }
6049 else {zzFAIL(1,zzerr88,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6050 }
6051 zzEXIT(zztasp1);
6052 return;
6053 fail:
6054 zzEXIT(zztasp1);
6055 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6056 zzresynch(setwd26, 0x4);
6101 else {zzFAIL(1,zzerr91,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6102 }
6103 zzEXIT(zztasp1);
6104 return;
6105 fail:
6106 zzEXIT(zztasp1);
6107 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6108 zzresynch(setwd26, 0x20);
60576109 }
60586110 }
60596111
60856137 zzBLOCK(zztasp2);
60866138 zzMake0;
60876139 {
6088 if ( (setwd26[LA(1)]&0x8) ) {
6140 if ( (setwd26[LA(1)]&0x40) ) {
60896141 #line 1841 "./verilog.g"
60906142 {
60916143 zzBLOCK(zztasp3);
60926144 zzMake0;
60936145 {
6094 while ( (setwd26[LA(1)]&0x10) ) {
6146 while ( (setwd26[LA(1)]&0x80) ) {
60956147 #line 1841 "./verilog.g"
60966148 v_statement();
60976149 zzLOOP(zztasp3);
61016153 }
61026154 }
61036155 else {
6104 if ( (LA(1)==V_COLON) ) {
6156 if ( (LA(1)==V_COLON)
6157 ) {
61056158 #line 1842 "./verilog.g"
61066159 zzmatch(V_COLON); zzCONSUME;
61076160 #line 1842 "./verilog.g"
61116164 zzBLOCK(zztasp3);
61126165 zzMake0;
61136166 {
6114 while ( (setwd26[LA(1)]&0x20)
6115 ) {
6167 while ( (setwd27[LA(1)]&0x1) ) {
61166168 #line 1842 "./verilog.g"
61176169 v_block_declaration();
61186170 zzLOOP(zztasp3);
61256177 zzBLOCK(zztasp3);
61266178 zzMake0;
61276179 {
6128 while ( (setwd26[LA(1)]&0x40) ) {
6180 while ( (setwd27[LA(1)]&0x2) ) {
61296181 #line 1843 "./verilog.g"
61306182 v_statement();
61316183 zzLOOP(zztasp3);
61346186 }
61356187 }
61366188 }
6137 else {zzFAIL(1,zzerr89,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6189 else {zzFAIL(1,zzerr92,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
61386190 }
61396191 zzEXIT(zztasp2);
61406192 }
61506202 fail:
61516203 zzEXIT(zztasp1);
61526204 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6153 zzresynch(setwd26, 0x80);
6205 zzresynch(setwd27, 0x4);
61546206 }
61556207 }
61566208
61826234 zzBLOCK(zztasp2);
61836235 zzMake0;
61846236 {
6185 if ( (setwd27[LA(1)]&0x1) ) {
6237 if ( (setwd27[LA(1)]&0x8) ) {
61866238 #line 1856 "./verilog.g"
61876239 {
61886240 zzBLOCK(zztasp3);
61896241 zzMake0;
61906242 {
6191 while ( (setwd27[LA(1)]&0x2) ) {
6243 while ( (setwd27[LA(1)]&0x10) ) {
61926244 #line 1856 "./verilog.g"
61936245 v_statement();
61946246 zzLOOP(zztasp3);
61986250 }
61996251 }
62006252 else {
6201 if ( (LA(1)==V_COLON) ) {
6253 if ( (LA(1)==V_COLON)
6254 ) {
62026255 #line 1857 "./verilog.g"
62036256 zzmatch(V_COLON); zzCONSUME;
62046257 #line 1857 "./verilog.g"
62086261 zzBLOCK(zztasp3);
62096262 zzMake0;
62106263 {
6211 while ( (setwd27[LA(1)]&0x4)
6212 ) {
6264 while ( (setwd27[LA(1)]&0x20) ) {
62136265 #line 1857 "./verilog.g"
62146266 v_block_declaration();
62156267 zzLOOP(zztasp3);
62226274 zzBLOCK(zztasp3);
62236275 zzMake0;
62246276 {
6225 while ( (setwd27[LA(1)]&0x8) ) {
6277 while ( (setwd27[LA(1)]&0x40) ) {
62266278 #line 1858 "./verilog.g"
62276279 v_statement();
62286280 zzLOOP(zztasp3);
62316283 }
62326284 }
62336285 }
6234 else {zzFAIL(1,zzerr90,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6286 else {zzFAIL(1,zzerr93,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
62356287 }
62366288 zzEXIT(zztasp2);
62376289 }
62476299 fail:
62486300 zzEXIT(zztasp1);
62496301 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6250 zzresynch(setwd27, 0x10);
6302 zzresynch(setwd27, 0x80);
62516303 }
62526304 }
62536305
62706322 fail:
62716323 zzEXIT(zztasp1);
62726324 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6273 zzresynch(setwd27, 0x20);
6325 zzresynch(setwd28, 0x1);
62746326 }
62756327 }
62766328
62966348 v_localparam_declaration();
62976349 }
62986350 else {
6299 if ( (LA(1)==V_REG) ) {
6351 if ( (LA(1)==V_REG)
6352 ) {
63006353 #line 1867 "./verilog.g"
63016354 v_reg_declaration();
63026355 }
63036356 else {
6304 if ( (LA(1)==V_INTEGER)
6305 ) {
6357 if ( (LA(1)==V_INTEGER) ) {
63066358 #line 1868 "./verilog.g"
63076359 v_integer_declaration();
63086360 }
63216373 #line 1871 "./verilog.g"
63226374 v_event_declaration();
63236375 }
6324 else {zzFAIL(1,zzerr91,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6376 else {zzFAIL(1,zzerr94,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
63256377 }
63266378 }
63276379 }
63336385 fail:
63346386 zzEXIT(zztasp1);
63356387 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6336 zzresynch(setwd27, 0x40);
6388 zzresynch(setwd28, 0x2);
63376389 }
63386390 }
63396391
63496401 zzBLOCK(zztasp1);
63506402 zzMake0;
63516403 {
6352 if ( (setwd27[LA(1)]&0x80) && (LA(2)==V_SEMI) ) {
6404 if ( (setwd28[LA(1)]&0x4) &&
6405 (LA(2)==V_SEMI) ) {
63536406 #line 1874 "./verilog.g"
63546407 v_name_of_task();
63556408 #line 1874 "./verilog.g"
63566409 zzmatch(V_SEMI); zzCONSUME;
63576410 }
63586411 else {
6359 if ( (setwd28[LA(1)]&0x1) &&
6360 (LA(2)==V_LP) ) {
6412 if ( (setwd28[LA(1)]&0x8) && (LA(2)==V_LP) ) {
63616413 #line 1875 "./verilog.g"
63626414 v_name_of_task();
63636415 #line 1875 "./verilog.g"
63696421 #line 1875 "./verilog.g"
63706422 zzmatch(V_SEMI); zzCONSUME;
63716423 }
6372 else {zzFAIL(2,zzerr92,zzerr93,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6373 }
6374 zzEXIT(zztasp1);
6375 return;
6376 fail:
6377 zzEXIT(zztasp1);
6378 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6379 zzresynch(setwd28, 0x2);
6424 else {zzFAIL(2,zzerr95,zzerr96,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6425 }
6426 zzEXIT(zztasp1);
6427 return;
6428 fail:
6429 zzEXIT(zztasp1);
6430 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6431 zzresynch(setwd28, 0x10);
63806432 }
63816433 }
63826434
63996451 fail:
64006452 zzEXIT(zztasp1);
64016453 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6402 zzresynch(setwd28, 0x4);
6454 zzresynch(setwd28, 0x20);
64036455 }
64046456 }
64056457
64226474 fail:
64236475 zzEXIT(zztasp1);
64246476 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6425 zzresynch(setwd28, 0x8);
6477 zzresynch(setwd28, 0x40);
64266478 }
64276479 }
64286480
64456497 zzBLOCK(zztasp2);
64466498 zzMake0;
64476499 {
6448 while ( (setwd28[LA(1)]&0x10) ) {
6500 while ( (setwd28[LA(1)]&0x80) ) {
64496501 #line 1885 "./verilog.g"
6450 zzsetmatch(zzerr94, zzerr95); zzCONSUME;
6502 zzsetmatch(zzerr97, zzerr98); zzCONSUME;
64516503 zzLOOP(zztasp2);
64526504 }
64536505 zzEXIT(zztasp2);
64606512 fail:
64616513 zzEXIT(zztasp1);
64626514 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6463 zzresynch(setwd28, 0x20);
6515 zzresynch(setwd29, 0x1);
64646516 }
64656517 }
64666518
64836535 zzBLOCK(zztasp2);
64846536 zzMake0;
64856537 {
6486 while ( (setwd28[LA(1)]&0x40) ) {
6538 while ( (setwd29[LA(1)]&0x2) ) {
64876539 #line 1892 "./verilog.g"
6488 zzsetmatch(zzerr96, zzerr97); zzCONSUME;
6540 zzsetmatch(zzerr99, zzerr100); zzCONSUME;
64896541 zzLOOP(zztasp2);
64906542 }
64916543 zzEXIT(zztasp2);
64986550 fail:
64996551 zzEXIT(zztasp1);
65006552 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6501 zzresynch(setwd28, 0x80);
6553 zzresynch(setwd29, 0x4);
65026554 }
65036555 }
65046556
65236575 fail:
65246576 zzEXIT(zztasp1);
65256577 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6526 zzresynch(setwd29, 0x1);
6578 zzresynch(setwd29, 0x8);
65276579 }
65286580 }
65296581
65396591 zzBLOCK(zztasp1);
65406592 zzMake0;
65416593 {
6542 if ( (setwd29[LA(1)]&0x2) ) {
6594 if ( (setwd29[LA(1)]&0x10) ) {
65436595 #line 1902 "./verilog.g"
65446596 v_identifier();
65456597 #line 1904 "./verilog.g"
65476599 zzBLOCK(zztasp2);
65486600 zzMake0;
65496601 {
6550 if ( (LA(1)==V_LBRACK) ) {
6602 if ( (LA(1)==V_LBRACK)
6603 ) {
65516604 #line 1904 "./verilog.g"
65526605 zzmatch(V_LBRACK); zzCONSUME;
65536606 #line 1904 "./verilog.g"
65576610 zzBLOCK(zztasp3);
65586611 zzMake0;
65596612 {
6560 if ( (LA(1)==V_COLON)
6561 ) {
6613 if ( (LA(1)==V_COLON) ) {
65626614 #line 1905 "./verilog.g"
65636615 zzmatch(V_COLON); zzCONSUME;
65646616 #line 1905 "./verilog.g"
65716623 #line 1907 "./verilog.g"
65726624 zzaRet.prim = i_primary_symbit_make(zzaArg(zztasp1,1).symbol,zzaArg(zztasp2,2).prim);
65736625 }
6574 else {zzFAIL(1,zzerr98,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6626 else {zzFAIL(1,zzerr101,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
65756627 }
65766628 zzEXIT(zztasp3);
65776629 }
65826634 v_opt_array_handling();
65836635 }
65846636 else {
6585 if ( (setwd29[LA(1)]&0x4) ) {
6637 if ( (setwd29[LA(1)]&0x20) ) {
65866638 #line 1910 "./verilog.g"
65876639 zzaRet.prim = i_primary_make(PRIM_SYMBOL,zzaArg(zztasp1,1).symbol);
65886640 }
6589 else {zzFAIL(1,zzerr99,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6641 else {zzFAIL(1,zzerr102,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
65906642 }
65916643 zzEXIT(zztasp2);
65926644 }
65996651 #line 1912 "./verilog.g"
66006652 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
66016653 }
6602 else {zzFAIL(1,zzerr100,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6603 }
6604 zzEXIT(zztasp1);
6605 return;
6606 fail:
6607 zzEXIT(zztasp1);
6608 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6609 zzresynch(setwd29, 0x8);
6654 else {zzFAIL(1,zzerr103,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6655 }
6656 zzEXIT(zztasp1);
6657 return;
6658 fail:
6659 zzEXIT(zztasp1);
6660 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6661 zzresynch(setwd29, 0x40);
66106662 }
66116663 }
66126664
66446696 fail:
66456697 zzEXIT(zztasp1);
66466698 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6647 zzresynch(setwd29, 0x10);
6699 zzresynch(setwd29, 0x80);
66486700 }
66496701 }
66506702
66656717 zzBLOCK(zztasp2);
66666718 zzMake0;
66676719 {
6668 if ( (setwd29[LA(1)]&0x20) ) {
6720 if ( (setwd30[LA(1)]&0x1)
6721 ) {
66696722 #line 1930 "./verilog.g"
66706723 v_primary();
66716724 #line 1930 "./verilog.g"
66726725 push_primary(zzaArg(zztasp2,1 ).prim);
66736726 }
66746727 else {
6675 if ( (setwd29[LA(1)]&0x40)
6676 ) {
6728 if ( (setwd30[LA(1)]&0x2) ) {
66776729 #line 1931 "./verilog.g"
66786730 v_unary_operator();
66796731 #line 1931 "./verilog.g"
66906742 zzCONSUME;
66916743
66926744 }
6693 else {zzFAIL(1,zzerr101,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6745 else {zzFAIL(1,zzerr104,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
66946746 }
66956747 }
66966748 zzEXIT(zztasp2);
67016753 zzBLOCK(zztasp2);
67026754 zzMake0;
67036755 {
6704 if ( (setwd29[LA(1)]&0x80) ) {
6756 if ( (setwd30[LA(1)]&0x4) ) {
67056757 #line 1934 "./verilog.g"
67066758 v_binary_operator();
67076759 #line 1934 "./verilog.g"
67246776 push_primary(i_bin_expr_make(zzaArg(zztasp2,2 ).prim,i_oper_make(V_COLON, 1),zzaArg(zztasp2,4 ).prim));
67256777 }
67266778 else {
6727 if ( (setwd30[LA(1)]&0x1) ) {
6779 if ( (setwd30[LA(1)]&0x8)
6780 ) {
67286781 }
6729 else {zzFAIL(1,zzerr102,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6782 else {zzFAIL(1,zzerr105,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
67306783 }
67316784 }
67326785 zzEXIT(zztasp2);
67376790 fail:
67386791 zzEXIT(zztasp1);
67396792 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6740 zzresynch(setwd30, 0x2);
6793 zzresynch(setwd30, 0x10);
67416794 }
67426795 }
67436796
67606813 zzBLOCK(zztasp2);
67616814 zzMake0;
67626815 {
6763 if ( (LA(1)==V_COLON)
6764 ) {
6816 if ( (LA(1)==V_COLON) ) {
67656817 #line 1942 "./verilog.g"
67666818 zzmatch(V_COLON); zzCONSUME;
67676819 #line 1942 "./verilog.g"
67746826 zzaRet.prim = i_primary_mintypmax_make(zzaArg(zztasp1,1).prim, zzaArg(zztasp2,2).prim, zzaArg(zztasp2,4).prim);
67756827 }
67766828 else {
6777 if ( (setwd30[LA(1)]&0x4) ) {
6829 if ( (setwd30[LA(1)]&0x20) ) {
67786830 #line 1944 "./verilog.g"
67796831 zzaRet.prim = zzaArg(zztasp1,1).prim;
67806832 }
6781 else {zzFAIL(1,zzerr103,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6833 else {zzFAIL(1,zzerr106,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
67826834 }
67836835 zzEXIT(zztasp2);
67846836 }
67886840 fail:
67896841 zzEXIT(zztasp1);
67906842 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6791 zzresynch(setwd30, 0x8);
6843 zzresynch(setwd30, 0x40);
67926844 }
67936845 }
67946846
68226874
68236875 }
68246876 else {
6825 if ( (LA(1)==V_BANG) ) {
6877 if ( (LA(1)==V_BANG)
6878 ) {
68266879 #line 1949 "./verilog.g"
68276880 zzmatch(V_BANG);
68286881 #line 1949 "./verilog.g"
68316884
68326885 }
68336886 else {
6834 if ( (LA(1)==V_TILDE)
6835 ) {
6887 if ( (LA(1)==V_TILDE) ) {
68366888 #line 1950 "./verilog.g"
68376889 zzmatch(V_TILDE);
68386890 #line 1950 "./verilog.g"
68686920
68696921 }
68706922 else {
6871 if ( (LA(1)==V_NOR) ) {
6923 if ( (LA(1)==V_NOR)
6924 ) {
68726925 #line 1954 "./verilog.g"
68736926 zzmatch(V_NOR);
68746927 #line 1954 "./verilog.g"
68776930
68786931 }
68796932 else {
6880 if ( (LA(1)==V_XOR)
6881 ) {
6933 if ( (LA(1)==V_XOR) ) {
68826934 #line 1955 "./verilog.g"
68836935 zzmatch(V_XOR);
68846936 #line 1955 "./verilog.g"
69046956 zzCONSUME;
69056957
69066958 }
6907 else {zzFAIL(1,zzerr104,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
6959 else {zzFAIL(1,zzerr107,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
69086960 }
69096961 }
69106962 }
69206972 fail:
69216973 zzEXIT(zztasp1);
69226974 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
6923 zzresynch(setwd30, 0x10);
6975 zzresynch(setwd30, 0x80);
69246976 }
69256977 }
69266978
69456997
69466998 }
69476999 else {
6948 if ( (LA(1)==V_STAR) ) {
7000 if ( (LA(1)==V_STAR)
7001 ) {
69497002 #line 1961 "./verilog.g"
69507003 zzmatch(V_STAR);
69517004 #line 1961 "./verilog.g"
69547007
69557008 }
69567009 else {
6957 if ( (LA(1)==V_SLASH)
6958 ) {
7010 if ( (LA(1)==V_SLASH) ) {
69597011 #line 1962 "./verilog.g"
69607012 zzmatch(V_SLASH);
69617013 #line 1962 "./verilog.g"
69917043
69927044 }
69937045 else {
6994 if ( (LA(1)==V_SHL) ) {
7046 if ( (LA(1)==V_SHL)
7047 ) {
69957048 #line 1966 "./verilog.g"
69967049 zzmatch(V_SHL);
69977050 #line 1966 "./verilog.g"
70007053
70017054 }
70027055 else {
7003 if ( (LA(1)==V_SHR)
7004 ) {
7056 if ( (LA(1)==V_SHR) ) {
70057057 #line 1967 "./verilog.g"
70067058 zzmatch(V_SHR);
70077059 #line 1967 "./verilog.g"
70377089
70387090 }
70397091 else {
7040 if ( (LA(1)==V_LEQ) ) {
7092 if ( (LA(1)==V_LEQ)
7093 ) {
70417094 #line 1971 "./verilog.g"
70427095 zzmatch(V_LEQ);
70437096 #line 1971 "./verilog.g"
70467099
70477100 }
70487101 else {
7049 if ( (LA(1)==V_GT)
7050 ) {
7102 if ( (LA(1)==V_GT) ) {
70517103 #line 1972 "./verilog.g"
70527104 zzmatch(V_GT);
70537105 #line 1972 "./verilog.g"
70837135
70847136 }
70857137 else {
7086 if ( (LA(1)==V_EQ3) ) {
7138 if ( (LA(1)==V_EQ3)
7139 ) {
70877140 #line 1976 "./verilog.g"
70887141 zzmatch(V_EQ3);
70897142 #line 1976 "./verilog.g"
70927145
70937146 }
70947147 else {
7095 if ( (LA(1)==V_NEQ2)
7096 ) {
7148 if ( (LA(1)==V_NEQ2) ) {
70977149 #line 1977 "./verilog.g"
70987150 zzmatch(V_NEQ2);
70997151 #line 1977 "./verilog.g"
71297181
71307182 }
71317183 else {
7132 if ( (LA(1)==V_XNOR) ) {
7184 if ( (LA(1)==V_XNOR)
7185 ) {
71337186 #line 1981 "./verilog.g"
71347187 zzmatch(V_XNOR);
71357188 #line 1981 "./verilog.g"
71387191
71397192 }
71407193 else {
7141 if ( (LA(1)==V_XNOR2)
7142 ) {
7194 if ( (LA(1)==V_XNOR2) ) {
71437195 #line 1982 "./verilog.g"
71447196 zzmatch(V_XNOR2);
71457197 #line 1982 "./verilog.g"
71757227
71767228 }
71777229 else {
7178 if ( (LA(1)==V_OR2) ) {
7230 if ( (LA(1)==V_OR2)
7231 ) {
71797232 #line 1986 "./verilog.g"
71807233 zzmatch(V_OR2);
71817234 #line 1986 "./verilog.g"
71837236 zzCONSUME;
71847237
71857238 }
7186 else {zzFAIL(1,zzerr105,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7239 else {zzFAIL(1,zzerr108,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
71877240 }
71887241 }
71897242 }
72157268 fail:
72167269 zzEXIT(zztasp1);
72177270 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7218 zzresynch(setwd30, 0x20);
7271 zzresynch(setwd31, 0x1);
72197272 }
72207273 }
72217274
72367289 zzBLOCK(zztasp2);
72377290 zzMake0;
72387291 {
7239 if ( (LA(1)==V_LBRACK)
7240 ) {
7292 if ( (LA(1)==V_LBRACK) ) {
72417293 #line 1990 "./verilog.g"
72427294 zzmatch(V_LBRACK); zzCONSUME;
72437295 #line 1990 "./verilog.g"
72567308 else {
72577309 if ( (LA(1)==V_RBRACK) ) {
72587310 }
7259 else {zzFAIL(1,zzerr106,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7311 else {zzFAIL(1,zzerr109,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
72607312 }
72617313 zzEXIT(zztasp3);
72627314 }
72657317 zzmatch(V_RBRACK); zzCONSUME;
72667318 }
72677319 else {
7268 if ( (setwd30[LA(1)]&0x40) ) {
7269 }
7270 else {zzFAIL(1,zzerr107,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7320 if ( (setwd31[LA(1)]&0x2) ) {
7321 }
7322 else {zzFAIL(1,zzerr110,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
72717323 }
72727324 zzEXIT(zztasp2);
72737325 }
72777329 fail:
72787330 zzEXIT(zztasp1);
72797331 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7280 zzresynch(setwd30, 0x80);
7332 zzresynch(setwd31, 0x4);
72817333 }
72827334 }
72837335
72937345 zzBLOCK(zztasp1);
72947346 zzMake0;
72957347 {
7296 if ( (setwd31[LA(1)]&0x1) ) {
7348 if ( (setwd31[LA(1)]&0x8)
7349 ) {
72977350 #line 1992 "./verilog.g"
72987351 v_number();
72997352 #line 1992 "./verilog.g"
73007353 zzaRet.prim = i_primary_make(PRIM_NUMBER,zzaArg(zztasp1,1 ).num);
73017354 }
73027355 else {
7303 if ( (setwd31[LA(1)]&0x2) &&
7304 (setwd31[LA(2)]&0x4) ) {
7356 if ( (setwd31[LA(1)]&0x10) && (setwd31[LA(2)]&0x20) ) {
73057357 #line 1993 "./verilog.g"
73067358 v_identifier();
73077359 #line 1994 "./verilog.g"
73427394 zzCONSUME;
73437395
73447396 }
7345 else {zzFAIL(1,zzerr108,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7397 else {zzFAIL(1,zzerr111,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
73467398 }
73477399 zzEXIT(zztasp3);
73487400 }
73497401 }
73507402 }
73517403 else {
7352 if ( (setwd31[LA(1)]&0x8) ) {
7404 if ( (setwd31[LA(1)]&0x40)
7405 ) {
73537406 #line 2000 "./verilog.g"
73547407 zzaRet.prim= i_primary_make(PRIM_SYMBOL,zzaArg(zztasp1,1).symbol);
73557408 }
7356 else {zzFAIL(1,zzerr109,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7409 else {zzFAIL(1,zzerr112,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
73577410 }
73587411 zzEXIT(zztasp2);
73597412 }
73607413 }
73617414 }
73627415 else {
7363 if ( (LA(1)==V_LBRACE)
7364 ) {
7416 if ( (LA(1)==V_LBRACE) ) {
73657417 #line 2001 "./verilog.g"
73667418 v_multiple_concatenation();
73677419 #line 2001 "./verilog.g"
73687420 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
73697421 }
73707422 else {
7371 if ( (setwd31[LA(1)]&0x10) && (LA(2)==V_LP) ) {
7423 if ( (setwd31[LA(1)]&0x80) && (LA(2)==V_LP) ) {
73727424 #line 2002 "./verilog.g"
73737425 v_function_call();
73747426 #line 2002 "./verilog.g"
73877439 zzCONSUME;
73887440
73897441 }
7390 else {zzFAIL(2,zzerr110,zzerr111,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7442 else {zzFAIL(2,zzerr113,zzerr114,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
73917443 }
73927444 }
73937445 }
73977449 fail:
73987450 zzEXIT(zztasp1);
73997451 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7400 zzresynch(setwd31, 0x20);
7452 zzresynch(setwd32, 0x1);
74017453 }
74027454 }
74037455
74227474
74237475 }
74247476 else {
7425 if ( (LA(1)==V_HBASE) ) {
7477 if ( (LA(1)==V_HBASE)
7478 ) {
74267479 #line 2008 "./verilog.g"
74277480 zzmatch(V_HBASE);
74287481 #line 2008 "./verilog.g"
74317484
74327485 }
74337486 else {
7434 if ( (LA(1)==V_DBASE)
7435 ) {
7487 if ( (LA(1)==V_DBASE) ) {
74367488 #line 2009 "./verilog.g"
74377489 zzmatch(V_DBASE);
74387490 #line 2009 "./verilog.g"
74687520
74697521 }
74707522 else {
7471 if ( (LA(1)==V_FLOAT2) ) {
7523 if ( (LA(1)==V_FLOAT2)
7524 ) {
74727525 #line 2013 "./verilog.g"
74737526 zzmatch(V_FLOAT2);
74747527 #line 2013 "./verilog.g"
74767529 zzCONSUME;
74777530
74787531 }
7479 else {zzFAIL(1,zzerr112,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7532 else {zzFAIL(1,zzerr115,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
74807533 }
74817534 }
74827535 }
74887541 fail:
74897542 zzEXIT(zztasp1);
74907543 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7491 zzresynch(setwd31, 0x40);
7544 zzresynch(setwd32, 0x2);
74927545 }
74937546 }
74947547
75197572 fail:
75207573 zzEXIT(zztasp1);
75217574 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7522 zzresynch(setwd31, 0x80);
7575 zzresynch(setwd32, 0x4);
75237576 }
75247577 }
75257578
75497602 zzBLOCK(zztasp2);
75507603 zzMake0;
75517604 {
7552 if ( (setwd32[LA(1)]&0x1)
7553 ) {
7605 if ( (setwd32[LA(1)]&0x8) ) {
75547606 #line 2033 "./verilog.g"
75557607 {
75567608 zzBLOCK(zztasp3);
75877639 zzCONSUME;
75887640
75897641 }
7590 else {zzFAIL(1,zzerr113,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7642 else {zzFAIL(1,zzerr116,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
75917643 }
75927644 zzEXIT(zztasp2);
75937645 }
75997651 fail:
76007652 zzEXIT(zztasp1);
76017653 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7602 zzresynch(setwd32, 0x2);
7654 zzresynch(setwd32, 0x10);
76037655 }
76047656 }
76057657
76327684 fail:
76337685 zzEXIT(zztasp1);
76347686 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7635 zzresynch(setwd32, 0x4);
7687 zzresynch(setwd32, 0x20);
76367688 }
76377689 }
76387690
76577709 fail:
76587710 zzEXIT(zztasp1);
76597711 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7660 zzresynch(setwd32, 0x8);
7712 zzresynch(setwd32, 0x40);
76617713 }
76627714 }
76637715
77067758 fail:
77077759 zzEXIT(zztasp1);
77087760 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7709 zzresynch(setwd32, 0x10);
7761 zzresynch(setwd32, 0x80);
77107762 }
77117763 }
77127764
77227774 zzBLOCK(zztasp1);
77237775 zzMake0;
77247776 {
7725 if ( (setwd32[LA(1)]&0x20) ) {
7777 if ( (setwd33[LA(1)]&0x1)
7778 ) {
77267779 #line 2066 "./verilog.g"
77277780 v_expression();
77287781 #line 2066 "./verilog.g"
77297782 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
77307783 }
77317784 else {
7732 if ( (setwd32[LA(1)]&0x40)
7733 ) {
7785 if ( (setwd33[LA(1)]&0x2) ) {
77347786 #line 2067 "./verilog.g"
77357787 zzaRet.prim = NULL;
77367788 }
7737 else {zzFAIL(1,zzerr114,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7738 }
7739 zzEXIT(zztasp1);
7740 return;
7741 fail:
7742 zzEXIT(zztasp1);
7743 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7744 zzresynch(setwd32, 0x80);
7789 else {zzFAIL(1,zzerr117,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7790 }
7791 zzEXIT(zztasp1);
7792 return;
7793 fail:
7794 zzEXIT(zztasp1);
7795 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7796 zzresynch(setwd33, 0x4);
77457797 }
77467798 }
77477799
77907842 fail:
77917843 zzEXIT(zztasp1);
77927844 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7793 zzresynch(setwd33, 0x1);
7845 zzresynch(setwd33, 0x8);
77947846 }
77957847 }
77967848
78287880
78297881 }
78307882 else {
7831 if ( (setwd33[LA(1)]&0x2) ) {
7883 if ( (setwd33[LA(1)]&0x10) ) {
78327884 #line 2094 "./verilog.g"
78337885 v_expression();
78347886 #line 2094 "./verilog.g"
78357887 zzaRet.prim = zzaArg(zztasp1,1 ).prim;
78367888 }
78377889 else {
7838 if ( (setwd33[LA(1)]&0x4) ) {
7890 if ( (setwd33[LA(1)]&0x20)
7891 ) {
78397892 #line 2095 "./verilog.g"
78407893 zzaRet.prim = NULL;
78417894 }
7842 else {zzFAIL(1,zzerr115,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7843 }
7844 }
7845 zzEXIT(zztasp1);
7846 return;
7847 fail:
7848 zzEXIT(zztasp1);
7849 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7850 zzresynch(setwd33, 0x8);
7895 else {zzFAIL(1,zzerr118,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7896 }
7897 }
7898 zzEXIT(zztasp1);
7899 return;
7900 fail:
7901 zzEXIT(zztasp1);
7902 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7903 zzresynch(setwd33, 0x40);
78517904 }
78527905 }
78537906
78637916 zzBLOCK(zztasp1);
78647917 zzMake0;
78657918 {
7866 if ( (setwd33[LA(1)]&0x10)
7867 ) {
7919 if ( (setwd33[LA(1)]&0x80) ) {
78687920 #line 2102 "./verilog.g"
78697921 v_identifier_nodot();
78707922 #line 2102 "./verilog.g"
78797931 zzCONSUME;
78807932
78817933 }
7882 else {zzFAIL(1,zzerr116,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7883 }
7884 zzEXIT(zztasp1);
7885 return;
7886 fail:
7887 zzEXIT(zztasp1);
7888 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7889 zzresynch(setwd33, 0x20);
7934 else {zzFAIL(1,zzerr119,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7935 }
7936 zzEXIT(zztasp1);
7937 return;
7938 fail:
7939 zzEXIT(zztasp1);
7940 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7941 zzresynch(setwd34, 0x1);
78907942 }
78917943 }
78927944
79207972
79217973 }
79227974 else {
7923 if ( (LA(1)==V_FUNCTION_NAME) ) {
7975 if ( (LA(1)==V_FUNCTION_NAME)
7976 ) {
79247977 #line 2109 "./verilog.g"
79257978 zzmatch(V_FUNCTION_NAME);
79267979 #line 2109 "./verilog.g"
79287981 zzCONSUME;
79297982
79307983 }
7931 else {zzFAIL(1,zzerr117,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7932 }
7933 }
7934 zzEXIT(zztasp1);
7935 return;
7936 fail:
7937 zzEXIT(zztasp1);
7938 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7939 zzresynch(setwd33, 0x40);
7984 else {zzFAIL(1,zzerr120,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
7985 }
7986 }
7987 zzEXIT(zztasp1);
7988 return;
7989 fail:
7990 zzEXIT(zztasp1);
7991 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
7992 zzresynch(setwd34, 0x2);
79407993 }
79417994 }
79427995
79598012 zzBLOCK(zztasp2);
79608013 zzMake0;
79618014 {
7962 if ( (setwd33[LA(1)]&0x80)
7963 ) {
8015 if ( (setwd34[LA(1)]&0x4) ) {
79648016 #line 2112 "./verilog.g"
79658017 v_number();
79668018 }
79678019 else {
7968 if ( (setwd34[LA(1)]&0x1) ) {
8020 if ( (setwd34[LA(1)]&0x8) ) {
79698021 #line 2113 "./verilog.g"
79708022 v_identifier();
79718023 }
79938045 #line 2115 "./verilog.g"
79948046 zzmatch(V_RP); zzCONSUME;
79958047 }
7996 else {zzFAIL(1,zzerr118,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
8048 else {zzFAIL(1,zzerr121,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
79978049 }
79988050 }
79998051 zzEXIT(zztasp2);
80048056 fail:
80058057 zzEXIT(zztasp1);
80068058 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8007 zzresynch(setwd34, 0x2);
8059 zzresynch(setwd34, 0x10);
80088060 }
80098061 }
80108062
80278079 zzBLOCK(zztasp2);
80288080 zzMake0;
80298081 {
8030 if ( (setwd34[LA(1)]&0x4) ) {
8082 if ( (setwd34[LA(1)]&0x20)
8083 ) {
80318084 #line 2118 "./verilog.g"
80328085 v_number();
80338086 }
80348087 else {
8035 if ( (setwd34[LA(1)]&0x8)
8036 ) {
8088 if ( (setwd34[LA(1)]&0x40) ) {
80378089 #line 2119 "./verilog.g"
80388090 v_identifier();
80398091 }
80468098 #line 2120 "./verilog.g"
80478099 zzmatch(V_RP); zzCONSUME;
80488100 }
8049 else {zzFAIL(1,zzerr119,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
8101 else {zzFAIL(1,zzerr122,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
80508102 }
80518103 }
80528104 zzEXIT(zztasp2);
80578109 fail:
80588110 zzEXIT(zztasp1);
80598111 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8060 zzresynch(setwd34, 0x10);
8112 zzresynch(setwd34, 0x80);
80618113 }
80628114 }
80638115
80808132 zzBLOCK(zztasp2);
80818133 zzMake0;
80828134 {
8083 if ( (setwd34[LA(1)]&0x20) ) {
8135 if ( (setwd35[LA(1)]&0x1) ) {
80848136 #line 2123 "./verilog.g"
80858137 v_identifier();
80868138 }
80948146 zzmatch(V_RP); zzCONSUME;
80958147 }
80968148 else {
8097 if ( (LA(1)==V_STAR) ) {
8149 if ( (LA(1)==V_STAR)
8150 ) {
80988151 #line 2125 "./verilog.g"
80998152 zzmatch(V_STAR); zzCONSUME;
81008153 }
8101 else {zzFAIL(1,zzerr120,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
8154 else {zzFAIL(1,zzerr123,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
81028155 }
81038156 }
81048157 zzEXIT(zztasp2);
81098162 fail:
81108163 zzEXIT(zztasp1);
81118164 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8112 zzresynch(setwd34, 0x40);
8165 zzresynch(setwd35, 0x2);
81138166 }
81148167 }
81158168
81328185 zzBLOCK(zztasp2);
81338186 zzMake0;
81348187 {
8135 while ( (setwd34[LA(1)]&0x80)
8136 ) {
8188 while ( (setwd35[LA(1)]&0x4) ) {
81378189 #line 2130 "./verilog.g"
81388190 v_orcomma();
81398191 #line 2130 "./verilog.g"
81488200 fail:
81498201 zzEXIT(zztasp1);
81508202 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8151 zzresynch(setwd35, 0x1);
8203 zzresynch(setwd35, 0x8);
81528204 }
81538205 }
81548206
81738225 #line 2134 "./verilog.g"
81748226 zzmatch(V_COMMA); zzCONSUME;
81758227 }
8176 else {zzFAIL(1,zzerr121,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
8177 }
8178 zzEXIT(zztasp1);
8179 return;
8180 fail:
8181 zzEXIT(zztasp1);
8182 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8183 zzresynch(setwd35, 0x2);
8228 else {zzFAIL(1,zzerr124,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
8229 }
8230 zzEXIT(zztasp1);
8231 return;
8232 fail:
8233 zzEXIT(zztasp1);
8234 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8235 zzresynch(setwd35, 0x10);
81848236 }
81858237 }
81868238
82018253 zzBLOCK(zztasp2);
82028254 zzMake0;
82038255 {
8204 if ( (setwd35[LA(1)]&0x4) ) {
8256 if ( (setwd35[LA(1)]&0x20) ) {
82058257 #line 2137 "./verilog.g"
82068258 v_expression();
82078259 }
82088260 else {
8209 if ( (LA(1)==V_POSEDGE) ) {
8261 if ( (LA(1)==V_POSEDGE)
8262 ) {
82108263 #line 2138 "./verilog.g"
82118264 zzmatch(V_POSEDGE); zzCONSUME;
82128265 #line 2138 "./verilog.g"
82138266 v_expression();
82148267 }
82158268 else {
8216 if ( (LA(1)==V_NEGEDGE)
8217 ) {
8269 if ( (LA(1)==V_NEGEDGE) ) {
82188270 #line 2139 "./verilog.g"
82198271 zzmatch(V_NEGEDGE); zzCONSUME;
82208272 #line 2139 "./verilog.g"
82258277 #line 2140 "./verilog.g"
82268278 zzmatch(V_STAR); zzCONSUME;
82278279 }
8228 else {zzFAIL(1,zzerr122,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
8280 else {zzFAIL(1,zzerr125,&zzMissSet,&zzMissText,&zzBadTok,&zzBadText,&zzErrk); goto fail;}
82298281 }
82308282 }
82318283 }
82378289 fail:
82388290 zzEXIT(zztasp1);
82398291 zzsyn(zzMissText, zzBadTok, (ANTLRChar *)"", zzMissSet, zzMissTok, zzErrk, zzBadText);
8240 zzresynch(setwd35, 0x8);
8241 }
8242 }
8292 zzresynch(setwd35, 0x40);
8293 }
8294 }
12191219 v_v2k_iio_declaration: v_v2k_input_declaration | v_v2k_output_declaration | v_v2k_inout_declaration // v2k1
12201220 ;
12211221
1222 v_v2k_input_declaration: V_INPUT v_optnettype v_optsigned (v_range | ) v_name_of_variable // v2k1
1222 v_v2k_input_declaration: V_INPUT v_optnettype v_optsigned (v_range | ) v_name_of_variable (v_range | ) // v2k1
12231223 ;
1224 v_v2k_output_declaration: V_OUTPUT v_optnettype v_optsigned (v_range | ) v_name_of_variable // v2k1
1224 v_v2k_output_declaration: V_OUTPUT v_optnettype v_optsigned (v_range | ) v_name_of_variable (v_range | ) // v2k1
12251225 ;
1226 v_v2k_inout_declaration: V_INOUT v_optnettype v_optsigned (v_range | ) v_name_of_variable // v2k1
1226 v_v2k_inout_declaration: V_INOUT v_optnettype v_optsigned (v_range | ) v_name_of_variable (v_range | ) // v2k1
12271227 ;
12281228
12291229
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
177177 GCONF_LIBS = @GCONF_LIBS@
178178 GEDITTEST = @GEDITTEST@
179179 GEDIT_CFLAGS = @GEDIT_CFLAGS@
180 GIO_CFLAGS = @GIO_CFLAGS@
181 GIO_LIBS = @GIO_LIBS@
182 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
180183 GPERF = @GPERF@
181184 GREP = @GREP@
185 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
186 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
182187 GTK_CFLAGS = @GTK_CFLAGS@
183188 GTK_CONFIG = @GTK_CONFIG@
184189 GTK_LIBS = @GTK_LIBS@
226231 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
227232 POW_LIB = @POW_LIB@
228233 RANLIB = @RANLIB@
234 RPC_CFLAGS = @RPC_CFLAGS@
235 RPC_LDADD = @RPC_LDADD@
229236 SET_MAKE = @SET_MAKE@
230237 SHELL = @SHELL@
231238 STRIP = @STRIP@
236243 TCL_LIB_SPEC = @TCL_LIB_SPEC@
237244 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
238245 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
246 TIRPC_CFLAGS = @TIRPC_CFLAGS@
247 TIRPC_LIBS = @TIRPC_LIBS@
239248 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
240249 TK_LDADD = @TK_LDADD@
241250 TK_LIB_SPEC = @TK_LIB_SPEC@
262271 docdir = @docdir@
263272 dvidir = @dvidir@
264273 exec_prefix = @exec_prefix@
274 gsettingsschemadir = @gsettingsschemadir@
265275 host_alias = @host_alias@
266276 htmldir = @htmldir@
267277 includedir = @includedir@
Binary diff not shown
00 ## -*- makefile -*-
11 ##
22
3 dist_examples_DATA= des.gtkw des.tcl des.v des.fst transaction.fst transaction.gtkw transaction.c gtkwaverc
3 dist_examples_DATA= des.gtkw des.tcl des.v des.fst transaction.fst transaction.gtkw transaction.c gtkwaverc sst_exclusion_example.rc
44 examplesdir= $(pkgdatadir)/examples
55
177177 GCONF_LIBS = @GCONF_LIBS@
178178 GEDITTEST = @GEDITTEST@
179179 GEDIT_CFLAGS = @GEDIT_CFLAGS@
180 GIO_CFLAGS = @GIO_CFLAGS@
181 GIO_LIBS = @GIO_LIBS@
182 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
180183 GPERF = @GPERF@
181184 GREP = @GREP@
185 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
186 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
182187 GTK_CFLAGS = @GTK_CFLAGS@
183188 GTK_CONFIG = @GTK_CONFIG@
184189 GTK_LIBS = @GTK_LIBS@
226231 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
227232 POW_LIB = @POW_LIB@
228233 RANLIB = @RANLIB@
234 RPC_CFLAGS = @RPC_CFLAGS@
235 RPC_LDADD = @RPC_LDADD@
229236 SET_MAKE = @SET_MAKE@
230237 SHELL = @SHELL@
231238 STRIP = @STRIP@
236243 TCL_LIB_SPEC = @TCL_LIB_SPEC@
237244 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
238245 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
246 TIRPC_CFLAGS = @TIRPC_CFLAGS@
247 TIRPC_LIBS = @TIRPC_LIBS@
239248 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
240249 TK_LDADD = @TK_LDADD@
241250 TK_LIB_SPEC = @TK_LIB_SPEC@
262271 docdir = @docdir@
263272 dvidir = @dvidir@
264273 exec_prefix = @exec_prefix@
274 gsettingsschemadir = @gsettingsschemadir@
265275 host_alias = @host_alias@
266276 htmldir = @htmldir@
267277 includedir = @includedir@
286296 top_build_prefix = @top_build_prefix@
287297 top_builddir = @top_builddir@
288298 top_srcdir = @top_srcdir@
289 dist_examples_DATA = des.gtkw des.tcl des.v des.fst transaction.fst transaction.gtkw transaction.c gtkwaverc
299 dist_examples_DATA = des.gtkw des.tcl des.v des.fst transaction.fst transaction.gtkw transaction.c gtkwaverc sst_exclusion_example.rc
290300 examplesdir = $(pkgdatadir)/examples
291301 all: all-am
292302
0 //
1 // Uncomment any of the scope types by removing the pound
2 // symbol to remove that specific hierarchy type from the
3 // SST window
4 //
5 // Point to this specific file by using the -5/--sstexclude
6 // gtkwave command line argument.
7 //
8 [hiertype]
9 // VCD scope types
10 # VCD_ST_MODULE
11 # VCD_ST_TASK
12 # VCD_ST_FUNCTION
13 # VCD_ST_BEGIN
14 # VCD_ST_FORK
15 # VCD_ST_GENERATE
16 # VCD_ST_STRUCT
17 # VCD_ST_UNION
18 # VCD_ST_CLASS
19 # VCD_ST_INTERFACE
20 # VCD_ST_PACKAGE
21 # VCD_ST_PROGRAM
22
23 // GHW VHDL scope types
24 # VHDL_ST_DESIGN
25 # VHDL_ST_BLOCK
26 # VHDL_ST_GENIF
27 # VHDL_ST_GENFOR
28 # VHDL_ST_INSTANCE
29 # VHDL_ST_PACKAGE
30
31 // GHW VHDL signal types (still as part of scope in GHW)
32 # VHDL_ST_SIGNAL
33 # VHDL_ST_PORTIN
34 # VHDL_ST_PORTOUT
35 # VHDL_ST_PORTINOUT
36 # VHDL_ST_BUFFER
37 # VHDL_ST_LINKAGE
38
39 // FSDB VHDL scope types: FSDB also reuses/defines GHW's VHDL_ST_BLOCK, VHDL_ST_GENFOR, VHDL_ST_GENIF
40 // FST reuses VHDL_ST_PACKAGE
41 # VHDL_ST_ARCHITECTURE
42 # VHDL_ST_FUNCTION
43 # VHDL_ST_PROCEDURE
44 # VHDL_ST_RECORD
45 # VHDL_ST_PROCESS
46 # VHDL_ST_GENERATE
47
48
49 //
50 // Add your own component type names here (e.g., fill_buffer in the
51 // case of an instantiation such as: fill_buffer FB0())
52 // each on its separate line underneath the [compname] tag
53 //
54 [compname]
55
56
57 //
58 // Add your own instantiation names here (e.g., FB0, FB1 in the
59 // case of an instantiation such as: fill_buffer FB0())
60 // each on its separate line underneath the [instname] tag
61 //
62 [instname]
179179 GCONF_LIBS = @GCONF_LIBS@
180180 GEDITTEST = @GEDITTEST@
181181 GEDIT_CFLAGS = @GEDIT_CFLAGS@
182 GIO_CFLAGS = @GIO_CFLAGS@
183 GIO_LIBS = @GIO_LIBS@
184 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
182185 GPERF = @GPERF@
183186 GREP = @GREP@
187 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
188 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
184189 GTK_CFLAGS = @GTK_CFLAGS@
185190 GTK_CONFIG = @GTK_CONFIG@
186191 GTK_LIBS = @GTK_LIBS@
228233 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
229234 POW_LIB = @POW_LIB@
230235 RANLIB = @RANLIB@
236 RPC_CFLAGS = @RPC_CFLAGS@
237 RPC_LDADD = @RPC_LDADD@
231238 SET_MAKE = @SET_MAKE@
232239 SHELL = @SHELL@
233240 STRIP = @STRIP@
238245 TCL_LIB_SPEC = @TCL_LIB_SPEC@
239246 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
240247 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
248 TIRPC_CFLAGS = @TIRPC_CFLAGS@
249 TIRPC_LIBS = @TIRPC_LIBS@
241250 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
242251 TK_LDADD = @TK_LDADD@
243252 TK_LIB_SPEC = @TK_LIB_SPEC@
264273 docdir = @docdir@
265274 dvidir = @dvidir@
266275 exec_prefix = @exec_prefix@
276 gsettingsschemadir = @gsettingsschemadir@
267277 host_alias = @host_alias@
268278 htmldir = @htmldir@
269279 includedir = @includedir@
9898 disabled in the componentized version of GTKWave when it functions as a plug-in.
9999 .TP
100100 \fB\-1\fR,\fB\-\-rpcid\fR <\fIRPCID\fP>
101 Specify RPCID of GConf session. This is a decimal value zero or greater and is the identifier used by GConf to know what update data to listen to.
102 This option only works if \fB\-\-with-gconf\fR was specified during \fI./configure\fP.
101 Specify RPCID of GConf (or GSettings) session. This is a decimal value zero or greater and is the identifier used by GConf to know what update data to listen to.
102 This option only works if \fB\-\-with-gconf\fR (or \fB\-\-with-gsettings\fR) was specified during \fI./configure\fP.
103103 .TP
104104 \fB\-2\fR,\fB\-\-chdir\fR <\fIDIRNAME\fP>
105105 Specify new current working directory. This is typically used in OSX to run gtkwave if it was compiled and placed in an .app bundle. Note that if the environment variable GTKWAVE_CHDIR is defined, the argument is a dummy argument. This is to support OSX in that the open command has difficulty in passing spaces as command line arguments and it is possible for \fIpwd\fP(1) to return spaces.
108108 Restore previous default (0) or \fB\-\-rpcid\fR RPCID numbered session. This only works for one dumpfile, savefile, rcfile, and current working directory so
109109 it has the effect of restoring the most recently loaded file. If used in conjunction with the \fB\-\-rpcid\fR option, that option must
110110 be specified earlier in the command line than the \fB\-\-restore\fR option. If RPCID is not specified, then the default of 0 is used.
111 This option only works if \fB\-\-with-gconf\fR was specified during \fI./configure\fP.
111 This option only works if \fB\-\-with-gconf\fR (or \fB\-\-with-gsettings\fR) was specified during \fI./configure\fP. Note that for GSettings, limitations in its implementation allow it only to restore the previous session.
112112 .TP
113113 \fB\-4\fR,\fB\-\-rcvar\fR
114114 Specify single rc variable values individually. These take effect after any other rc variables have been loaded from internal defaults or from configuration files.
115 .TP
116 \fB\-5\fR,\fB\-\-sstexclude\fR
117 Specify sst exclusion filter filename.
115118 .TP
116119 \fB\-I\fR,\fB\-\-interactive\fR
117120 Specifies that "interactive" VCD mode is to be used which allows a viewer to navigate a VCD trace while GTKWave is processing the VCD file.
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
146146 GCONF_LIBS = @GCONF_LIBS@
147147 GEDITTEST = @GEDITTEST@
148148 GEDIT_CFLAGS = @GEDIT_CFLAGS@
149 GIO_CFLAGS = @GIO_CFLAGS@
150 GIO_LIBS = @GIO_LIBS@
151 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
149152 GPERF = @GPERF@
150153 GREP = @GREP@
154 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
155 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
151156 GTK_CFLAGS = @GTK_CFLAGS@
152157 GTK_CONFIG = @GTK_CONFIG@
153158 GTK_LIBS = @GTK_LIBS@
195200 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
196201 POW_LIB = @POW_LIB@
197202 RANLIB = @RANLIB@
203 RPC_CFLAGS = @RPC_CFLAGS@
204 RPC_LDADD = @RPC_LDADD@
198205 SET_MAKE = @SET_MAKE@
199206 SHELL = @SHELL@
200207 STRIP = @STRIP@
205212 TCL_LIB_SPEC = @TCL_LIB_SPEC@
206213 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
207214 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
215 TIRPC_CFLAGS = @TIRPC_CFLAGS@
216 TIRPC_LIBS = @TIRPC_LIBS@
208217 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
209218 TK_LDADD = @TK_LDADD@
210219 TK_LIB_SPEC = @TK_LIB_SPEC@
231240 docdir = @docdir@
232241 dvidir = @dvidir@
233242 exec_prefix = @exec_prefix@
243 gsettingsschemadir = @gsettingsschemadir@
234244 host_alias = @host_alias@
235245 htmldir = @htmldir@
236246 includedir = @includedir@
176176 GCONF_LIBS = @GCONF_LIBS@
177177 GEDITTEST = @GEDITTEST@
178178 GEDIT_CFLAGS = @GEDIT_CFLAGS@
179 GIO_CFLAGS = @GIO_CFLAGS@
180 GIO_LIBS = @GIO_LIBS@
181 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
179182 GPERF = @GPERF@
180183 GREP = @GREP@
184 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
185 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
181186 GTK_CFLAGS = @GTK_CFLAGS@
182187 GTK_CONFIG = @GTK_CONFIG@
183188 GTK_LIBS = @GTK_LIBS@
225230 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
226231 POW_LIB = @POW_LIB@
227232 RANLIB = @RANLIB@
233 RPC_CFLAGS = @RPC_CFLAGS@
234 RPC_LDADD = @RPC_LDADD@
228235 SET_MAKE = @SET_MAKE@
229236 SHELL = @SHELL@
230237 STRIP = @STRIP@
235242 TCL_LIB_SPEC = @TCL_LIB_SPEC@
236243 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
237244 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
245 TIRPC_CFLAGS = @TIRPC_CFLAGS@
246 TIRPC_LIBS = @TIRPC_LIBS@
238247 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
239248 TK_LDADD = @TK_LDADD@
240249 TK_LIB_SPEC = @TK_LIB_SPEC@
261270 docdir = @docdir@
262271 dvidir = @dvidir@
263272 exec_prefix = @exec_prefix@
273 gsettingsschemadir = @gsettingsschemadir@
264274 host_alias = @host_alias@
265275 htmldir = @htmldir@
266276 includedir = @includedir@
236236 GCONF_LIBS = @GCONF_LIBS@
237237 GEDITTEST = @GEDITTEST@
238238 GEDIT_CFLAGS = @GEDIT_CFLAGS@
239 GIO_CFLAGS = @GIO_CFLAGS@
240 GIO_LIBS = @GIO_LIBS@
241 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
239242 GPERF = @GPERF@
240243 GREP = @GREP@
244 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
245 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
241246 GTK_CFLAGS = @GTK_CFLAGS@
242247 GTK_CONFIG = @GTK_CONFIG@
243248 GTK_LIBS = @GTK_LIBS@
285290 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
286291 POW_LIB = @POW_LIB@
287292 RANLIB = @RANLIB@
293 RPC_CFLAGS = @RPC_CFLAGS@
294 RPC_LDADD = @RPC_LDADD@
288295 SET_MAKE = @SET_MAKE@
289296 SHELL = @SHELL@
290297 STRIP = @STRIP@
295302 TCL_LIB_SPEC = @TCL_LIB_SPEC@
296303 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
297304 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
305 TIRPC_CFLAGS = @TIRPC_CFLAGS@
306 TIRPC_LIBS = @TIRPC_LIBS@
298307 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
299308 TK_LDADD = @TK_LDADD@
300309 TK_LIB_SPEC = @TK_LIB_SPEC@
321330 docdir = @docdir@
322331 dvidir = @dvidir@
323332 exec_prefix = @exec_prefix@
333 gsettingsschemadir = @gsettingsschemadir@
324334 host_alias = @host_alias@
325335 htmldir = @htmldir@
326336 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
176176 GCONF_LIBS = @GCONF_LIBS@
177177 GEDITTEST = @GEDITTEST@
178178 GEDIT_CFLAGS = @GEDIT_CFLAGS@
179 GIO_CFLAGS = @GIO_CFLAGS@
180 GIO_LIBS = @GIO_LIBS@
181 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
179182 GPERF = @GPERF@
180183 GREP = @GREP@
184 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
185 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
181186 GTK_CFLAGS = @GTK_CFLAGS@
182187 GTK_CONFIG = @GTK_CONFIG@
183188 GTK_LIBS = @GTK_LIBS@
225230 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
226231 POW_LIB = @POW_LIB@
227232 RANLIB = @RANLIB@
233 RPC_CFLAGS = @RPC_CFLAGS@
234 RPC_LDADD = @RPC_LDADD@
228235 SET_MAKE = @SET_MAKE@
229236 SHELL = @SHELL@
230237 STRIP = @STRIP@
235242 TCL_LIB_SPEC = @TCL_LIB_SPEC@
236243 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
237244 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
245 TIRPC_CFLAGS = @TIRPC_CFLAGS@
246 TIRPC_LIBS = @TIRPC_LIBS@
238247 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
239248 TK_LDADD = @TK_LDADD@
240249 TK_LIB_SPEC = @TK_LIB_SPEC@
261270 docdir = @docdir@
262271 dvidir = @dvidir@
263272 exec_prefix = @exec_prefix@
273 gsettingsschemadir = @gsettingsschemadir@
264274 host_alias = @host_alias@
265275 htmldir = @htmldir@
266276 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
176176 GCONF_LIBS = @GCONF_LIBS@
177177 GEDITTEST = @GEDITTEST@
178178 GEDIT_CFLAGS = @GEDIT_CFLAGS@
179 GIO_CFLAGS = @GIO_CFLAGS@
180 GIO_LIBS = @GIO_LIBS@
181 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
179182 GPERF = @GPERF@
180183 GREP = @GREP@
184 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
185 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
181186 GTK_CFLAGS = @GTK_CFLAGS@
182187 GTK_CONFIG = @GTK_CONFIG@
183188 GTK_LIBS = @GTK_LIBS@
225230 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
226231 POW_LIB = @POW_LIB@
227232 RANLIB = @RANLIB@
233 RPC_CFLAGS = @RPC_CFLAGS@
234 RPC_LDADD = @RPC_LDADD@
228235 SET_MAKE = @SET_MAKE@
229236 SHELL = @SHELL@
230237 STRIP = @STRIP@
235242 TCL_LIB_SPEC = @TCL_LIB_SPEC@
236243 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
237244 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
245 TIRPC_CFLAGS = @TIRPC_CFLAGS@
246 TIRPC_LIBS = @TIRPC_LIBS@
238247 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
239248 TK_LDADD = @TK_LDADD@
240249 TK_LIB_SPEC = @TK_LIB_SPEC@
261270 docdir = @docdir@
262271 dvidir = @dvidir@
263272 exec_prefix = @exec_prefix@
273 gsettingsschemadir = @gsettingsschemadir@
264274 host_alias = @host_alias@
265275 htmldir = @htmldir@
266276 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
176176 GCONF_LIBS = @GCONF_LIBS@
177177 GEDITTEST = @GEDITTEST@
178178 GEDIT_CFLAGS = @GEDIT_CFLAGS@
179 GIO_CFLAGS = @GIO_CFLAGS@
180 GIO_LIBS = @GIO_LIBS@
181 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
179182 GPERF = @GPERF@
180183 GREP = @GREP@
184 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
185 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
181186 GTK_CFLAGS = @GTK_CFLAGS@
182187 GTK_CONFIG = @GTK_CONFIG@
183188 GTK_LIBS = @GTK_LIBS@
225230 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
226231 POW_LIB = @POW_LIB@
227232 RANLIB = @RANLIB@
233 RPC_CFLAGS = @RPC_CFLAGS@
234 RPC_LDADD = @RPC_LDADD@
228235 SET_MAKE = @SET_MAKE@
229236 SHELL = @SHELL@
230237 STRIP = @STRIP@
235242 TCL_LIB_SPEC = @TCL_LIB_SPEC@
236243 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
237244 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
245 TIRPC_CFLAGS = @TIRPC_CFLAGS@
246 TIRPC_LIBS = @TIRPC_LIBS@
238247 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
239248 TK_LDADD = @TK_LDADD@
240249 TK_LIB_SPEC = @TK_LIB_SPEC@
261270 docdir = @docdir@
262271 dvidir = @dvidir@
263272 exec_prefix = @exec_prefix@
273 gsettingsschemadir = @gsettingsschemadir@
264274 host_alias = @host_alias@
265275 htmldir = @htmldir@
266276 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
176176 GCONF_LIBS = @GCONF_LIBS@
177177 GEDITTEST = @GEDITTEST@
178178 GEDIT_CFLAGS = @GEDIT_CFLAGS@
179 GIO_CFLAGS = @GIO_CFLAGS@
180 GIO_LIBS = @GIO_LIBS@
181 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
179182 GPERF = @GPERF@
180183 GREP = @GREP@
184 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
185 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
181186 GTK_CFLAGS = @GTK_CFLAGS@
182187 GTK_CONFIG = @GTK_CONFIG@
183188 GTK_LIBS = @GTK_LIBS@
225230 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
226231 POW_LIB = @POW_LIB@
227232 RANLIB = @RANLIB@
233 RPC_CFLAGS = @RPC_CFLAGS@
234 RPC_LDADD = @RPC_LDADD@
228235 SET_MAKE = @SET_MAKE@
229236 SHELL = @SHELL@
230237 STRIP = @STRIP@
235242 TCL_LIB_SPEC = @TCL_LIB_SPEC@
236243 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
237244 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
245 TIRPC_CFLAGS = @TIRPC_CFLAGS@
246 TIRPC_LIBS = @TIRPC_LIBS@
238247 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
239248 TK_LDADD = @TK_LDADD@
240249 TK_LIB_SPEC = @TK_LIB_SPEC@
261270 docdir = @docdir@
262271 dvidir = @dvidir@
263272 exec_prefix = @exec_prefix@
273 gsettingsschemadir = @gsettingsschemadir@
264274 host_alias = @host_alias@
265275 htmldir = @htmldir@
266276 includedir = @includedir@
206206 GCONF_LIBS = @GCONF_LIBS@
207207 GEDITTEST = @GEDITTEST@
208208 GEDIT_CFLAGS = @GEDIT_CFLAGS@
209 GIO_CFLAGS = @GIO_CFLAGS@
210 GIO_LIBS = @GIO_LIBS@
211 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
209212 GPERF = @GPERF@
210213 GREP = @GREP@
214 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
215 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
211216 GTK_CFLAGS = @GTK_CFLAGS@
212217 GTK_CONFIG = @GTK_CONFIG@
213218 GTK_LIBS = @GTK_LIBS@
255260 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
256261 POW_LIB = @POW_LIB@
257262 RANLIB = @RANLIB@
263 RPC_CFLAGS = @RPC_CFLAGS@
264 RPC_LDADD = @RPC_LDADD@
258265 SET_MAKE = @SET_MAKE@
259266 SHELL = @SHELL@
260267 STRIP = @STRIP@
265272 TCL_LIB_SPEC = @TCL_LIB_SPEC@
266273 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
267274 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
275 TIRPC_CFLAGS = @TIRPC_CFLAGS@
276 TIRPC_LIBS = @TIRPC_LIBS@
268277 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
269278 TK_LDADD = @TK_LDADD@
270279 TK_LIB_SPEC = @TK_LIB_SPEC@
291300 docdir = @docdir@
292301 dvidir = @dvidir@
293302 exec_prefix = @exec_prefix@
303 gsettingsschemadir = @gsettingsschemadir@
294304 host_alias = @host_alias@
295305 htmldir = @htmldir@
296306 includedir = @includedir@
176176 GCONF_LIBS = @GCONF_LIBS@
177177 GEDITTEST = @GEDITTEST@
178178 GEDIT_CFLAGS = @GEDIT_CFLAGS@
179 GIO_CFLAGS = @GIO_CFLAGS@
180 GIO_LIBS = @GIO_LIBS@
181 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
179182 GPERF = @GPERF@
180183 GREP = @GREP@
184 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
185 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
181186 GTK_CFLAGS = @GTK_CFLAGS@
182187 GTK_CONFIG = @GTK_CONFIG@
183188 GTK_LIBS = @GTK_LIBS@
225230 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
226231 POW_LIB = @POW_LIB@
227232 RANLIB = @RANLIB@
233 RPC_CFLAGS = @RPC_CFLAGS@
234 RPC_LDADD = @RPC_LDADD@
228235 SET_MAKE = @SET_MAKE@
229236 SHELL = @SHELL@
230237 STRIP = @STRIP@
235242 TCL_LIB_SPEC = @TCL_LIB_SPEC@
236243 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
237244 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
245 TIRPC_CFLAGS = @TIRPC_CFLAGS@
246 TIRPC_LIBS = @TIRPC_LIBS@
238247 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
239248 TK_LDADD = @TK_LDADD@
240249 TK_LIB_SPEC = @TK_LIB_SPEC@
261270 docdir = @docdir@
262271 dvidir = @dvidir@
263272 exec_prefix = @exec_prefix@
273 gsettingsschemadir = @gsettingsschemadir@
264274 host_alias = @host_alias@
265275 htmldir = @htmldir@
266276 includedir = @includedir@
1616 AM_CFLAGS= -I$(srcdir)/.. -I$(srcdir)/helpers $(FASTTREE_CFLAGS) $(GTK_CFLAGS) $(LIBLZMA_CFLAGS) \
1717 $(LIBZ_CFLAGS) $(LIBBZ2_CFLAGS) $(LIBFST_CFLAGS) $(AET2_CFLAGS) $(FSDB_CFLAGS) $(TCL_INCLUDE_SPEC) \
1818 $(TCL_DEFADD) $(TK_INCLUDE_SPEC) $(EXTLOAD_CFLAGS) $(GEDIT_CFLAGS) $(LIBJUDY_CFLAGS) \
19 $(GTK_MAC_CFLAGS) $(GCONF_CFLAGS) $(LIBCOCOA_CFLAGS) $(GTK_UNIX_PRINT_CFLAGS)
19 $(GTK_MAC_CFLAGS) $(GCONF_CFLAGS) $(GSETTINGS_CFLAGS) $(LIBCOCOA_CFLAGS) $(GTK_UNIX_PRINT_CFLAGS)
2020
2121 AM_CXXFLAGS= $(AM_CFLAGS)
2222
6060 vcd_keywords.c: vcd_keywords.gperf
6161 printf "$(GPERF) -o -i 1 -C -k 1,\044 -L C -H keyword_hash -N check_identifier -tT $(srcdir)/vcd_keywords.gperf >vcd_keywords.c" | sh
6262
63 gsettings_SCHEMAS = com.geda.gtkwave.gschema.xml
64 @GSETTINGS_RULES@
65
6366 BUILT_SOURCES= vcd_keywords.c
6467
6568 # I'm listing treesearch_gtk2.c here instead of in SOURCES because we don't directly
6669 # compile it. Rather it is #include'd by treesearch.c.
67 EXTRA_DIST= treesearch_gtk1.c treesearch_gtk2.c vcd_keywords.gperf gnu_regex.c
70 EXTRA_DIST= treesearch_gtk1.c treesearch_gtk2.c vcd_keywords.gperf gnu_regex.c com.geda.gtkwave.gschema.xml
289289 GCONF_LIBS = @GCONF_LIBS@
290290 GEDITTEST = @GEDITTEST@
291291 GEDIT_CFLAGS = @GEDIT_CFLAGS@
292 GIO_CFLAGS = @GIO_CFLAGS@
293 GIO_LIBS = @GIO_LIBS@
294 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
292295 GPERF = @GPERF@
293296 GREP = @GREP@
297 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
298 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
294299 GTK_CFLAGS = @GTK_CFLAGS@
295300 GTK_CONFIG = @GTK_CONFIG@
296301 GTK_LIBS = @GTK_LIBS@
338343 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
339344 POW_LIB = @POW_LIB@
340345 RANLIB = @RANLIB@
346 RPC_CFLAGS = @RPC_CFLAGS@
347 RPC_LDADD = @RPC_LDADD@
341348 SET_MAKE = @SET_MAKE@
342349 SHELL = @SHELL@
343350 STRIP = @STRIP@
348355 TCL_LIB_SPEC = @TCL_LIB_SPEC@
349356 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
350357 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
358 TIRPC_CFLAGS = @TIRPC_CFLAGS@
359 TIRPC_LIBS = @TIRPC_LIBS@
351360 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
352361 TK_LDADD = @TK_LDADD@
353362 TK_LIB_SPEC = @TK_LIB_SPEC@
374383 docdir = @docdir@
375384 dvidir = @dvidir@
376385 exec_prefix = @exec_prefix@
386 gsettingsschemadir = @gsettingsschemadir@
377387 host_alias = @host_alias@
378388 htmldir = @htmldir@
379389 includedir = @includedir@
409419 AM_CFLAGS = -I$(srcdir)/.. -I$(srcdir)/helpers $(FASTTREE_CFLAGS) $(GTK_CFLAGS) $(LIBLZMA_CFLAGS) \
410420 $(LIBZ_CFLAGS) $(LIBBZ2_CFLAGS) $(LIBFST_CFLAGS) $(AET2_CFLAGS) $(FSDB_CFLAGS) $(TCL_INCLUDE_SPEC) \
411421 $(TCL_DEFADD) $(TK_INCLUDE_SPEC) $(EXTLOAD_CFLAGS) $(GEDIT_CFLAGS) $(LIBJUDY_CFLAGS) \
412 $(GTK_MAC_CFLAGS) $(GCONF_CFLAGS) $(LIBCOCOA_CFLAGS) $(GTK_UNIX_PRINT_CFLAGS)
422 $(GTK_MAC_CFLAGS) $(GCONF_CFLAGS) $(GSETTINGS_CFLAGS) $(LIBCOCOA_CFLAGS) $(GTK_UNIX_PRINT_CFLAGS)
413423
414424 AM_CXXFLAGS = $(AM_CFLAGS)
415425 gtkwave_SOURCES = \
441451 gtkwave_LDFLAGS = $(COCOA_GTK_LDFLAGS)
442452 twinwave_SOURCES = twinwave.c
443453 twinwave_LDADD = $(GTK_LIBS) $(GTK_MAC_LIBS) $(GCONF_LIBS)
454 gsettings_SCHEMAS = com.geda.gtkwave.gschema.xml
444455 BUILT_SOURCES = vcd_keywords.c
445456
446457 # I'm listing treesearch_gtk2.c here instead of in SOURCES because we don't directly
447458 # compile it. Rather it is #include'd by treesearch.c.
448 EXTRA_DIST = treesearch_gtk1.c treesearch_gtk2.c vcd_keywords.gperf gnu_regex.c
459 EXTRA_DIST = treesearch_gtk1.c treesearch_gtk2.c vcd_keywords.gperf gnu_regex.c com.geda.gtkwave.gschema.xml
449460 all: $(BUILT_SOURCES)
450461 $(MAKE) $(AM_MAKEFLAGS) all-recursive
451462
980991
981992 vcd_keywords.c: vcd_keywords.gperf
982993 printf "$(GPERF) -o -i 1 -C -k 1,\044 -L C -H keyword_hash -N check_identifier -tT $(srcdir)/vcd_keywords.gperf >vcd_keywords.c" | sh
994 @GSETTINGS_RULES@
983995
984996 # Tell versions [3.59,3.63) of GNU make to not export all variables.
985997 # Otherwise a system limit (for SysV at least) may be exceeded.
14821482 t->flags |= TR_HIGHLIGHT;
14831483 }
14841484 else
1485 if(t->flags & (TR_BLANK|TR_ANALOG_BLANK_STRETCH)) /* seek to real xact trace if present... */
1485 if((t->flags & (TR_BLANK|TR_ANALOG_BLANK_STRETCH)) && (GLOBALS->num_ttrans_filters)) /* seek to real xact trace if present... */
14861486 {
14871487 if(!(t->flags & TR_HIGHLIGHT))
14881488 {
14891489 Trptr tscan = t;
14901490 int bcnt = 0;
1491 while((tscan) && (tscan = GivePrevTraceSkipUpdate(tscan, 1)))
1491 while((tscan) && (tscan = tscan->t_prev)) /* && branch formerly was (tscan = GivePrevTraceSkipUpdate(tscan, 1)): overkill as blank traces in transactions don't nest into groups */
14921492 {
14931493 if(!(tscan->flags & (TR_BLANK|TR_ANALOG_BLANK_STRETCH)))
14941494 {
412412 if(GLOBALS->show_base) { *(pnt++)='"'; }
413413
414414 parse=(flags&TR_RJUSTIFY)?(newbuff+((nbits+7)&7)):(newbuff+7);
415 cvt_gray(flags,parse,nbits);
415 cvt_gray(flags,parse,(flags&TR_RJUSTIFY)?((nbits+7)&~7):nbits);
416416
417417 for(i=0;i<nbits;i+=8)
418418 {
452452 if(GLOBALS->show_base) { *(pnt++)='$'; }
453453
454454 parse=(flags&TR_RJUSTIFY)?(newbuff+((nbits+3)&3)):(newbuff+3);
455 cvt_gray(flags,parse,nbits);
455 cvt_gray(flags,parse,(flags&TR_RJUSTIFY)?((nbits+3)&~3):nbits);
456456
457457 for(i=0;i<nbits;i+=4)
458458 {
613613 parse=(flags&TR_RJUSTIFY)
614614 ?(newbuff+((nbits%3)?(nbits%3):3))
615615 :(newbuff+3);
616 cvt_gray(flags,parse,nbits);
616 cvt_gray(flags,parse,(flags&TR_RJUSTIFY)?(((nbits+2)/3)*3):nbits);
617617
618618 for(i=0;i<nbits;i+=3)
619619 {
707707 {
708708 char *parse;
709709
710 if(nbits==64)
710 if((nbits==64) || (nbits==32))
711711 {
712712 UTimeType utt = LLDescriptor(0);
713713 double d;
714 float f;
715 uint32_t utt_32;
714716
715717 parse=newbuff+3;
716718 cvt_gray(flags,parse,nbits);
732734 }
733735 }
734736
735 memcpy(&d, &utt, sizeof(double));
736 os=/*pnt=*/(char *)calloc_2(1,32); /* scan-build */
737 sprintf(os, "%.16g", d);
737 os=/*pnt=*/(char *)calloc_2(1,64); /* scan-build */
738 if(nbits==64)
739 {
740 memcpy(&d, &utt, sizeof(double));
741 sprintf(os, "%.16g", d);
742 }
743 else
744 {
745 utt_32 = utt;
746 memcpy(&f, &utt_32, sizeof(float));
747 sprintf(os, "%f", f);
748 }
738749 }
739750 else
740751 {
11161127 if(GLOBALS->show_base) { *(pnt++)='"'; }
11171128
11181129 parse=(flags&TR_RJUSTIFY)?(newbuff+((nbits+7)&7)):(newbuff+7);
1119 cvt_gray(flags,parse,nbits);
1130 cvt_gray(flags,parse,(flags&TR_RJUSTIFY)?((nbits+7)&~7):nbits);
11201131
11211132 for(i=0;i<nbits;i+=8)
11221133 {
11551166 if(GLOBALS->show_base) { *(pnt++)='$'; }
11561167
11571168 parse=(flags&TR_RJUSTIFY)?(newbuff+((nbits+3)&3)):(newbuff+3);
1158 cvt_gray(flags,parse,nbits);
1169 cvt_gray(flags,parse,(flags&TR_RJUSTIFY)?((nbits+3)&~3):nbits);
11591170
11601171 for(i=0;i<nbits;i+=4)
11611172 {
13161327 parse=(flags&TR_RJUSTIFY)
13171328 ?(newbuff+((nbits%3)?(nbits%3):3))
13181329 :(newbuff+3);
1319 cvt_gray(flags,parse,nbits);
1330 cvt_gray(flags,parse,(flags&TR_RJUSTIFY)?(((nbits+2)/3)*3):nbits);
13201331
13211332 for(i=0;i<nbits;i+=3)
13221333 {
14091420 {
14101421 char *parse;
14111422
1412 if(nbits==64)
1423 if((nbits==64) || (nbits == 32))
14131424 {
14141425 UTimeType utt = LLDescriptor(0);
14151426 double d;
1427 float f;
1428 uint32_t utt_32;
14161429
14171430 parse=newbuff+3;
14181431 cvt_gray(flags,parse,nbits);
14341447 }
14351448 }
14361449
1437 memcpy(&d, &utt, sizeof(double));
1438 os=/*pnt=*/(char *)calloc_2(1,32); /* scan-build */
1439 sprintf(os, "%.16g", d);
1450 os=/*pnt=*/(char *)calloc_2(1,64); /* scan-build */
1451
1452 if(nbits==64)
1453 {
1454 memcpy(&d, &utt, sizeof(double));
1455 sprintf(os, "%.16g", d);
1456 }
1457 else
1458 {
1459 utt_32 = utt;
1460 memcpy(&f, &utt_32, sizeof(float));
1461 sprintf(os, "%f", f);
1462 }
14401463 }
14411464 else
14421465 {
17661789
17671790 if(flags&TR_REAL)
17681791 {
1769 if(nbits==64) /* fail (NaN) otherwise */
1792 if((nbits==64) || (nbits == 32)) /* fail (NaN) otherwise */
17701793 {
17711794 char *parse;
17721795 UTimeType val=0;
17731796 unsigned char fail=0;
1797 uint32_t val_32;
17741798
17751799 parse=newbuff+3;
17761800 for(i=0;i<nbits;i++)
17821806 }
17831807 if(!fail)
17841808 {
1785 memcpy(&retval, &val, sizeof(double)); /* otherwise strict-aliasing rules problem if retval = *(double *)&val; */
1809 if(nbits==64)
1810 {
1811 memcpy(&retval, &val, sizeof(double)); /* otherwise strict-aliasing rules problem if retval = *(double *)&val; */
1812 }
1813 else
1814 {
1815 float f;
1816 val_32 = val;
1817 memcpy(&f, &val_32, sizeof(float)); /* otherwise strict-aliasing rules problem if retval = *(double *)&val; */
1818 retval = (double)f;
1819 }
17861820 }
17871821 }
17881822 }
386386 {
387387 if((GLOBALS->loaded_file_type == GHW_FILE) && (h[i]->v.h_vector[0] == '\'') && (h[i]->v.h_vector[1]) && (h[i]->v.h_vector[2] == '\''))
388388 {
389 char ghw_str[2] = {h[i]->v.h_vector[1], 0};
390 strcat(vadd->v, ghw_str);
389 char ghw_str[2];
390 ghw_str[0] = h[i]->v.h_vector[1];
391 ghw_str[1] = 0;
392 strcat((char *)vadd->v, ghw_str);
391393 }
392394 else
393395 {
394 strcat(vadd->v, h[i]->v.h_vector);
396 strcat((char *)vadd->v, h[i]->v.h_vector);
395397 }
396398 }
397399 }
199199 GCONF_LIBS = @GCONF_LIBS@
200200 GEDITTEST = @GEDITTEST@
201201 GEDIT_CFLAGS = @GEDIT_CFLAGS@
202 GIO_CFLAGS = @GIO_CFLAGS@
203 GIO_LIBS = @GIO_LIBS@
204 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
202205 GPERF = @GPERF@
203206 GREP = @GREP@
207 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
208 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
204209 GTK_CFLAGS = @GTK_CFLAGS@
205210 GTK_CONFIG = @GTK_CONFIG@
206211 GTK_LIBS = @GTK_LIBS@
248253 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
249254 POW_LIB = @POW_LIB@
250255 RANLIB = @RANLIB@
256 RPC_CFLAGS = @RPC_CFLAGS@
257 RPC_LDADD = @RPC_LDADD@
251258 SET_MAKE = @SET_MAKE@
252259 SHELL = @SHELL@
253260 STRIP = @STRIP@
258265 TCL_LIB_SPEC = @TCL_LIB_SPEC@
259266 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
260267 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
268 TIRPC_CFLAGS = @TIRPC_CFLAGS@
269 TIRPC_LIBS = @TIRPC_LIBS@
261270 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
262271 TK_LDADD = @TK_LDADD@
263272 TK_LIB_SPEC = @TK_LIB_SPEC@
284293 docdir = @docdir@
285294 dvidir = @dvidir@
286295 exec_prefix = @exec_prefix@
296 gsettingsschemadir = @gsettingsschemadir@
287297 host_alias = @host_alias@
288298 htmldir = @htmldir@
289299 includedir = @includedir@
0 <?xml version="1.0" encoding="utf-8"?>
1 <schemalist>
2
3 <schema path="/com/geda/gtkwave/" id="com.geda.gtkwave">
4
5 <key name='open' type='s'>
6 <default>""</default>
7 <summary>Open File</summary>
8 <description>
9 Opens file for a given RPC ID. Example: gsettings set com.geda.gtkwave open 0,/pub/systema_packed.fst
10 </description>
11 </key>
12
13 <key name='quit' type='s'>
14 <default>""</default>
15 <summary>Quit</summary>
16 <description>
17 Quits viewer for a given RPC ID and return code. Example: gsettings set com.geda.gtkwave quit 0,255
18 </description>
19 </key>
20
21 <key name='writesave' type='s'>
22 <default>""</default>
23 <summary>Write Save File</summary>
24 <description>
25 Writes save file. Example: gsettings set com.geda.gtkwave writesave 0,/tmp/this.gtkw
26 gsettings set com.geda.gtkwave writesave 0,+
27 </description>
28 </key>
29
30 <key name='reload' type='s'>
31 <default>""</default>
32 <summary>Reloads</summary>
33 <description>
34 Reloads file for a given RPC ID. Example: gsettings set com.geda.gtkwave reload 0
35 </description>
36 </key>
37
38 <key name='zoom-full' type='s'>
39 <default>""</default>
40 <summary>Zoom Full</summary>
41 <description>
42 Zoom Full for a given RPC ID. Example: gsettings set com.geda.gtkwave zoom-full 0
43 </description>
44 </key>
45
46 <key name='move-to-time' type='s'>
47 <default>""</default>
48 <summary>Move To Time</summary>
49 <description>
50 Moves to a given time for a given RPC ID. Example: gsettings set com.geda.gtkwave move-to-time 0,123ns
51 gsettings set com.geda.gtkwave move-to-time 0,A
52 </description>
53 </key>
54
55 <key name='zoom-size' type='s'>
56 <default>""</default>
57 <summary>Zoom Size</summary>
58 <description>
59 Sets zoom size for a given RPC ID. Example: gsettings set com.geda.gtkwave zoom-size 0,-4.6
60 </description>
61 </key>
62
63 <key name='dumpfile' type='s'>
64 <default>""</default>
65 <summary>Dumpfile name</summary>
66 <description>
67 Indicates current dumpfile name.
68 </description>
69 </key>
70
71 <key name='savefile' type='s'>
72 <default>""</default>
73 <summary>Savefile name</summary>
74 <description>
75 Indicates current savefile name.
76 </description>
77 </key>
78
79 <key name='rcfile' type='s'>
80 <default>""</default>
81 <summary>rcfile name</summary>
82 <description>
83 Indicates current rcfile name.
84 </description>
85 </key>
86
87 <key name='pwd' type='s'>
88 <default>""</default>
89 <summary>pwd</summary>
90 <description>
91 Indicates pwd.
92 </description>
93 </key>
94
95 <key name='optimized-vcd' type='s'>
96 <default>"0"</default>
97 <summary>Optimized VCD usage.</summary>
98 <description>
99 Value indicates VCD file is optimized.
100 </description>
101 </key>
102
103 <key name='imagegrab' type='s'>
104 <default>""</default>
105 <summary>Image grab</summary>
106 <description>
107 Indicates image grab filename.
108 </description>
109 </key>
110
111 </schema>
112
113 </schemalist>
23102310 #endif
23112311
23122312 histent_tail = htemp = histent_calloc();
2313 if(len>1)
2314 {
2315 htemp->v.h_vector = (char *)malloc_2(len);
2316 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
2317 }
2318 else
2319 {
2320 htemp->v.h_val = AN_Z; /* z */
2313 if(f->flags&(VZT_RD_SYM_F_DOUBLE|VZT_RD_SYM_F_STRING))
2314 {
2315 htemp->v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
2316 htemp->flags = HIST_REAL;
2317 if(f->flags&VZT_RD_SYM_F_STRING) htemp->flags |= HIST_STRING;
2318 }
2319 else
2320 {
2321 if(len>1)
2322 {
2323 htemp->v.h_vector = (char *)malloc_2(len);
2324 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
2325 }
2326 else
2327 {
2328 htemp->v.h_val = AN_Z; /* z */
2329 }
23212330 }
23222331 htemp->time = MAX_HISTENT_TIME;
23232332
23242333 htemp = histent_calloc();
2325 if(len>1)
2326 {
2327 htemp->v.h_vector = (char *)malloc_2(len);
2328 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_X;
2329 }
2330 else
2331 {
2332 htemp->v.h_val = AN_X; /* x */
2334 if(f->flags&(VZT_RD_SYM_F_DOUBLE|VZT_RD_SYM_F_STRING))
2335 {
2336 htemp->v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
2337 htemp->flags = HIST_REAL;
2338 if(f->flags&VZT_RD_SYM_F_STRING) htemp->flags |= HIST_STRING;
2339 }
2340 else
2341 {
2342 if(len>1)
2343 {
2344 htemp->v.h_vector = (char *)malloc_2(len);
2345 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_X;
2346 }
2347 else
2348 {
2349 htemp->v.h_val = AN_X; /* x */
2350 }
23332351 }
23342352 htemp->time = MAX_HISTENT_TIME-1;
23352353 htemp->next = histent_tail;
23562374 {
23572375 np->head.flags = HIST_REAL;
23582376 if(f->flags&VZT_RD_SYM_F_STRING) np->head.flags |= HIST_STRING;
2377
2378 np->head.v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
23592379 }
23602380
23612381 {
23622382 struct HistEnt *htemp2 = histent_calloc();
23632383 htemp2->time = -1;
2364 if(len>1)
2365 {
2366 htemp2->v.h_vector = htemp->v.h_vector;
2384
2385 if(f->flags&(VZT_RD_SYM_F_DOUBLE|VZT_RD_SYM_F_STRING))
2386 {
2387 htemp2->v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
2388 htemp2->flags = HIST_REAL;
2389 if(f->flags&VZT_RD_SYM_F_STRING) htemp2->flags |= HIST_STRING;
23672390 }
23682391 else
23692392 {
2370 htemp2->v.h_val = htemp->v.h_val;
2371 }
2393 if(len>1)
2394 {
2395 htemp2->v.h_vector = htemp->v.h_vector;
2396 }
2397 else
2398 {
2399 htemp2->v.h_val = htemp->v.h_val;
2400 }
2401 }
23722402 htemp2->next = htemp;
23732403 htemp = htemp2;
23742404 GLOBALS->vzt_table_vzt_c_1[txidx].numtrans++;
00 /*
1 * Copyright (c) Tony Bybell 2012.
1 * Copyright (c) Tony Bybell 2012-2018.
22 *
33 * This program is free software; you can redistribute it and/or
44 * modify it under the terms of the GNU General Public License
1212 #include "globals.h"
1313
1414 int wave_rpc_id = 0;
15
16 #ifdef WAVE_HAVE_GSETTINGS
17 static GSettings *gs = NULL;
18
19 static void remove_client(void)
20 {
21 if(gs)
22 {
23 g_object_unref(gs);
24 gs = NULL;
25 }
26 }
27
28 static char *parse_rpc_id(char *str, int *this_wave_rpc_id)
29 {
30 char *comma = strchr(str, ',');
31 char *str2 = comma ? (comma+1) : NULL;
32
33 *this_wave_rpc_id = atoi(str);
34
35 return(str2);
36 }
37
38 static void
39 user_function (GSettings *settings,
40 gchar *key,
41 gpointer user_data)
42 {
43 char *str = NULL;
44 char *str2 = NULL;
45 int this_wave_rpc_id = -1;
46
47 g_settings_get (settings, key, "s", &str);
48
49 if(!strcmp(key, "open"))
50 {
51 if((str)&&(str[0]))
52 {
53 str2 = parse_rpc_id(str, &this_wave_rpc_id);
54 if((this_wave_rpc_id == wave_rpc_id) && str2)
55 {
56 fprintf(stderr, "GTKWAVE | RPC Open: '%s'\n", str2);
57
58 deal_with_rpc_open(str, NULL);
59 g_settings_set(settings, "open", "s", "");
60 }
61 }
62 }
63 else
64 if(!strcmp(key, "quit"))
65 {
66 if((str)&&(str[0]))
67 {
68 str2 = parse_rpc_id(str, &this_wave_rpc_id);
69 if((this_wave_rpc_id == wave_rpc_id) && str2)
70 {
71 const char *rc = str2;
72 int rcv = atoi(rc);
73 fprintf(stderr, "GTKWAVE | RPC Quit: exit return code %d\n", rcv);
74 g_settings_set(settings, "quit", "s", "");
75 exit(rcv);
76 }
77 }
78 }
79 else
80 if(!strcmp(key, "reload"))
81 {
82 if((str)&&(str[0]))
83 {
84 this_wave_rpc_id = atoi(str);
85 if(this_wave_rpc_id == wave_rpc_id)
86 {
87 if(in_main_iteration()) goto bot;
88 reload_into_new_context();
89 g_settings_set(settings, "reload", "s", "");
90 }
91 }
92 }
93 else
94 if(!strcmp(key, "zoom-full"))
95 {
96 if((str)&&(str[0]))
97 {
98 this_wave_rpc_id = atoi(str);
99 if(this_wave_rpc_id == wave_rpc_id)
100 {
101 if(in_main_iteration()) goto bot;
102 service_zoom_full(NULL, NULL);
103 g_settings_set(settings, "zoom-full", "s", "");
104 }
105 }
106 }
107 else
108 if(!strcmp(key, "writesave"))
109 {
110 if((str)&&(str[0]))
111 {
112 str2 = parse_rpc_id(str, &this_wave_rpc_id);
113 if((this_wave_rpc_id == wave_rpc_id) && str2)
114 {
115 const char *fni = str2;
116 if(fni && !in_main_iteration())
117 {
118 int use_arg = strcmp(fni, "+"); /* plus filename uses default */
119 const char *fn = use_arg ? fni : GLOBALS->filesel_writesave;
120 if(fn)
121 {
122 FILE *wave;
123
124 if(!(wave=fopen(fn, "wb")))
125 {
126 fprintf(stderr, "GTKWAVE | RPC Writesave: error opening save file '%s' for writing.\n", fn);
127 perror("Why");
128 errno=0;
129 }
130 else
131 {
132 write_save_helper(fn, wave);
133 if(use_arg)
134 {
135 if(GLOBALS->filesel_writesave) { free_2(GLOBALS->filesel_writesave); }
136 GLOBALS->filesel_writesave = strdup_2(fn);
137 }
138 wave_gconf_client_set_string("/current/savefile", fn);
139 fclose(wave);
140 fprintf(stderr, "GTKWAVE | RPC Writesave: wrote save file '%s'.\n", GLOBALS->filesel_writesave);
141 }
142 }
143 }
144
145 g_settings_set(settings, "writesave", "s", "");
146 }
147 }
148 }
149 else
150 if(!strcmp(key, "move-to-time"))
151 {
152 if((str)&&(str[0]))
153 {
154 str2 = parse_rpc_id(str, &this_wave_rpc_id);
155 if((this_wave_rpc_id == wave_rpc_id) && str2)
156 {
157 if(!in_main_iteration())
158 {
159 char *e_copy = GLOBALS->entrybox_text;
160 GLOBALS->entrybox_text=strdup_2(str2);
161 movetotime_cleanup(NULL, NULL);
162
163 GLOBALS->entrybox_text = e_copy;
164 }
165
166 g_settings_set(settings, "move-to-time", "s", "");
167 }
168 }
169 }
170 else
171 if(!strcmp(key, "zoom-size"))
172 {
173 if((str)&&(str[0]))
174 {
175 str2 = parse_rpc_id(str, &this_wave_rpc_id);
176 if((this_wave_rpc_id == wave_rpc_id) && str2)
177 {
178 if(!in_main_iteration())
179 {
180 char *e_copy = GLOBALS->entrybox_text;
181 GLOBALS->entrybox_text=strdup_2(str2);
182
183 zoomsize_cleanup(NULL, NULL);
184
185 GLOBALS->entrybox_text = e_copy;
186 }
187
188 g_settings_set(settings, "zoom-size", "s", "");
189 }
190 }
191 }
192
193 bot:
194 if(str) g_free(str);
195 }
196
197 void wave_gconf_init(int argc, char **argv)
198 {
199 if(!gs)
200 {
201 gs = g_settings_new (WAVE_GSETTINGS_SCHEMA_ID);
202 g_signal_connect (gs, "changed", G_CALLBACK (user_function), NULL);
203 atexit(remove_client);
204 }
205 }
206
207 gboolean wave_gconf_client_set_string(const gchar *key, const gchar *val)
208 {
209 if(key && gs)
210 {
211 const char *ks = strrchr(key, '/');
212 char *k2 = NULL;
213 if(ks) { ks = ks+1; } else { ks = key; }
214 if(strchr(ks, '_'))
215 {
216 char *s;
217 k2 = s = strdup_2(ks);
218 while(*s) { if(*s=='_') *s='-'; s++; }
219 }
220 g_settings_set(gs, k2 ? k2 : ks, "s", val ? val : "");
221 if(k2) free_2(k2);
222 return(TRUE);
223 }
224
225 return(FALSE);
226 }
227
228
229 static gchar *wave_gconf_client_get_string(const gchar *key)
230 {
231 if(key && gs)
232 {
233 const char *ks = strrchr(key, '/');
234 char *k2 = NULL;
235 char *str = NULL;
236 if(ks) { ks = ks+1; } else { ks = key; }
237 if(strchr(ks, '_'))
238 {
239 char *s;
240 k2 = s = strdup_2(ks);
241 while(*s) { if(*s=='_') *s='-'; s++; }
242 }
243 g_settings_get (gs, k2 ? k2 : ks, "s", &str);
244 if(k2) free_2(k2);
245 return(str);
246 }
247
248 return(NULL);
249 }
250
251 void wave_gconf_restore(char **dumpfile, char **savefile, char **rcfile, char **wave_pwd, int *opt_vcd)
252 {
253 char *s;
254
255 if(dumpfile && savefile && rcfile && wave_pwd && opt_vcd)
256 {
257 if(*dumpfile) { free_2(*dumpfile); *dumpfile = NULL; }
258 s = wave_gconf_client_get_string("/current/dumpfile");
259 if(s) { if(s[0]) *dumpfile = strdup_2(s); g_free(s); }
260
261 if(*savefile) { free_2(*savefile); *savefile = NULL; }
262 s = wave_gconf_client_get_string("/current/savefile");
263 if(s) { if(s[0]) *savefile = strdup_2(s); g_free(s); }
264
265 if(*rcfile) { free_2(*rcfile); *rcfile = NULL; }
266 s = wave_gconf_client_get_string("/current/rcfile");
267 if(s) { if(s[0]) *rcfile = strdup_2(s); g_free(s); }
268
269 if(*wave_pwd) { free_2(*wave_pwd); *wave_pwd = NULL; }
270 s = wave_gconf_client_get_string("/current/pwd");
271 if(s) { if(s[0]) *wave_pwd = strdup_2(s); g_free(s); }
272
273 s = wave_gconf_client_get_string("/current/optimized-vcd");
274 if(s) { if(s[0]) *opt_vcd = atoi(s); g_free(s); }
275 }
276 }
277
278 #else
15279
16280 #ifdef WAVE_HAVE_GCONF
17281
284548 if(client)
285549 {
286550 g_object_unref(client);
551 client = NULL;
287552 }
288553 }
289554
433698
434699 #endif
435700
701 #endif
702
436703 /*
437704
438 Examples of RPC manipulation:
705 Examples of RPC manipulation (gconf):
439706
440707 gconftool-2 --dump /com.geda.gtkwave
441708 gconftool-2 --dump /com.geda.gtkwave --recursive-unset
454721 gconftool-2 --type string --set /com.geda.gtkwave/0/move_to_time 123ns
455722 gconftool-2 --type string --set /com.geda.gtkwave/0/move_to_time A
456723
724
725 Examples of RPC manipulation (gsettings).
726 First number is RPC ID:
727
728 gsettings set com.geda.gtkwave open 0,/pub/systema_packed.fst
729
730 gsettings set com.geda.gtkwave writesave 0,/tmp/this.gtkw
731 gsettings set com.geda.gtkwave writesave 0,+
732
733 gsettings set com.geda.gtkwave quit 0,0
734 gsettings set com.geda.gtkwave reload 0
735
736 gsettings set com.geda.gtkwave zoom-full 0
737 gsettings set com.geda.gtkwave zoom-size 0,-4.6
738 gsettings set com.geda.gtkwave move-to-time 0,123ns
739 gsettings set com.geda.gtkwave move-to-time 0,A
740
457741 */
00 /*
1 * Copyright (c) Tony Bybell 2012.
1 * Copyright (c) Tony Bybell 2012-2018.
22 *
33 * This program is free software; you can redistribute it and/or
44 * modify it under the terms of the GNU General Public License
1515 #include <string.h>
1616
1717 #ifdef WAVE_HAVE_GCONF
18
1918 #include <gconf/gconf-client.h>
20
2119 #endif
2220
21 #define WAVE_GSETTINGS_SCHEMA_ID "com.geda.gtkwave"
22 /* 1234567890123456 */
2323
2424 #define WAVE_GCONF_DIR "/com.geda.gtkwave"
2525 /* 12345678901234567 */
438438 void ghw_disp_type (struct ghw_handler *h, union ghw_type *t);
439439
440440 void ghw_disp_types (struct ghw_handler *h);
441
442 enum ghw_res ghw_read_sm_hdr (struct ghw_handler *h, int *list);
443
441444 #endif /* _GHWLIB_H_ */
10231023 0, /* facs2_pos_tree_c_1 452 */
10241024 NULL, /* talloc_pool_base */
10251025 0, /* talloc_idx */
1026 NULL, /* sst_exclude_filename */
1027 0, /* exclhiermask */
1028 NULL, /* exclcompname */
1029 NULL, /* exclinstname */
10261030
10271031
10281032 /*
20072011 new_globals->filter_matlen_treesearch_gtk2_c_1 = GLOBALS->filter_matlen_treesearch_gtk2_c_1;
20082012 new_globals->filter_noregex_treesearch_gtk2_c_1 = GLOBALS->filter_noregex_treesearch_gtk2_c_1;
20092013
2014 strcpy2_into_new_context(new_globals, &new_globals->sst_exclude_filename, &GLOBALS->sst_exclude_filename);
2015 if(GLOBALS->exclcompname)
2016 {
2017 jrb_free_tree(GLOBALS->exclcompname); /* strings get freed by automatic _2 mechanism */
2018 GLOBALS->exclcompname = NULL;
2019 }
2020 if(GLOBALS->exclinstname)
2021 {
2022 jrb_free_tree(GLOBALS->exclinstname); /* strings get freed by automatic _2 mechanism */
2023 GLOBALS->exclinstname = NULL;
2024 }
2025
20102026 /* timeentry.c */
20112027 new_globals->from_entry = GLOBALS->from_entry;
20122028 new_globals->to_entry = GLOBALS->to_entry;
22312247 init_proctrans_data();
22322248 init_ttrans_data();
22332249 /* load_all_fonts(); */
2250
2251 sst_exclusion_loader();
22342252
22352253 /* attempt to reload file and recover on loader errors until successful */
22362254 for(;;)
27672785 {
27682786 struct Global *test_g = (*GLOBALS->contexts)[i];
27692787
2770 vp = (void **)(((char *)test_g) + (long)data);
2788 vp = (void **)(((char *)test_g) + (intptr_t)data);
27712789 wcmp = (GtkWindow *)(*vp);
27722790
27732791 if(wcmp != NULL)
28322850 return(FALSE);
28332851 }
28342852
2835 void install_focus_cb(GtkWidget *w, unsigned long ptr_offset)
2853 void install_focus_cb(GtkWidget *w, intptr_t ptr_offset)
28362854 {
28372855 gtk_signal_connect (GTK_OBJECT(w), "enter_notify_event", GTK_SIGNAL_FUNC(context_swapper), (void *)ptr_offset);
28382856 gtk_signal_connect (GTK_OBJECT(w), "focus_in_event", GTK_SIGNAL_FUNC(context_swapper), (void *)ptr_offset);
28722890 return(0);
28732891 }
28742892
2875 gulong gtkwave_signal_connect_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, unsigned long line)
2893 gulong gtkwave_signal_connect_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, intptr_t line)
28762894 {
28772895 gulong rc;
28782896
28892907 }
28902908
28912909
2892 gulong gtkwave_signal_connect_object_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, unsigned long line)
2910 gulong gtkwave_signal_connect_object_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, intptr_t line)
28932911 {
28942912 gulong rc;
28952913
10081008 int facs2_pos_tree_c_1; /* from tree.c 482 */
10091009 unsigned char *talloc_pool_base;
10101010 size_t talloc_idx;
1011 char *sst_exclude_filename;
1012 uint64_t exclhiermask;
1013 JRB exclcompname;
1014 JRB exclinstname;
10111015
10121016
10131017 /*
13691373 void free_and_destroy_page_context(void);
13701374 void dead_context_sweep(void);
13711375
1372 void install_focus_cb(GtkWidget *w, unsigned long ptr_offset);
1373
1374 gulong gtkwave_signal_connect_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, unsigned long line);
1375 gulong gtkwave_signal_connect_object_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, unsigned long line);
1376 void install_focus_cb(GtkWidget *w, intptr_t ptr_offset);
1377
1378 gulong gtkwave_signal_connect_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, intptr_t line);
1379 gulong gtkwave_signal_connect_object_x(GtkObject *object, const gchar *name, GtkSignalFunc func, gpointer data, char *f, intptr_t line);
13761380
13771381 #ifdef GTKWAVE_SIGNAL_CONNECT_DEBUG
13781382 #define gtkwave_signal_connect(a,b,c,d) gtkwave_signal_connect_x(a,b,c,d,__FILE__,__LINE__)
55 LIBLZMA_CFLAGS = -I$(srcdir)/../liblzma $(LIBXZ_CFLAGS)
66 LIBLZMA_LDADD = $(LIBXZ_LDADD)
77
8 AM_CFLAGS= -I$(srcdir)/.. -I$(srcdir)/../.. $(LIBZ_CFLAGS) $(LIBBZ2_CFLAGS) $(LIBLZMA_CFLAGS) $(LIBJUDY_CFLAGS) $(EXTLOAD_CFLAGS) -I$(srcdir)/fst -I$(srcdir)/../../contrib/rtlbrowse
8 AM_CFLAGS= -I$(srcdir)/.. -I$(srcdir)/../.. $(LIBZ_CFLAGS) $(LIBBZ2_CFLAGS) $(LIBLZMA_CFLAGS) $(LIBJUDY_CFLAGS) $(EXTLOAD_CFLAGS) $(RPC_CFLAGS) -I$(srcdir)/fst -I$(srcdir)/../../contrib/rtlbrowse
99
1010 bin_PROGRAMS= evcd2vcd fst2vcd vcd2fst fstminer ghwdump lxt2miner lxt2vcd \
1111 shmidcat vcd2lxt vcd2lxt2 vcd2vzt \
3030 vcd2lxt2_LDADD= $(LIBZ_LDADD)
3131
3232 vzt2vcd_SOURCES= vzt_read.c vzt_read.h vzt2vcd.c scopenav.c $(srcdir)/../liblzma/LzmaLib.c $(srcdir)/../liblzma/LzmaLib.h
33 vzt2vcd_LDADD= $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD)
33 vzt2vcd_LDADD= $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD) $(RPC_LDADD)
3434
3535 vcd2vzt_SOURCES= vcd2vzt.c vzt_write.c vzt_write.h v2l_analyzer_lxt2.h v2l_debug_lxt2.c v2l_debug_lxt2.h $(srcdir)/../liblzma/LzmaLib.c $(srcdir)/../liblzma/LzmaLib.h
36 vcd2vzt_LDADD= $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD)
36 vcd2vzt_LDADD= $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD) $(RPC_LDADD)
3737
3838 vztminer_SOURCES= vztminer.c vzt_read.c vzt_read.h $(srcdir)/../liblzma/LzmaLib.c $(srcdir)/../liblzma/LzmaLib.h
39 vztminer_LDADD= $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD)
39 vztminer_LDADD= $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD) $(RPC_LDADD)
4040
4141 lxt2miner_SOURCES= lxt2miner.c lxt2_read.c lxt2_read.h
4242 lxt2miner_LDADD= $(LIBZ_LDADD)
135135 vcd2vzt_OBJECTS = $(am_vcd2vzt_OBJECTS)
136136 am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
137137 vcd2vzt_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
138 $(am__DEPENDENCIES_2)
138 $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
139139 am_vzt2vcd_OBJECTS = vzt_read.$(OBJEXT) vzt2vcd.$(OBJEXT) \
140140 scopenav.$(OBJEXT) LzmaLib.$(OBJEXT)
141141 vzt2vcd_OBJECTS = $(am_vzt2vcd_OBJECTS)
142142 vzt2vcd_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
143 $(am__DEPENDENCIES_2)
143 $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
144144 am_vztminer_OBJECTS = vztminer.$(OBJEXT) vzt_read.$(OBJEXT) \
145145 LzmaLib.$(OBJEXT)
146146 vztminer_OBJECTS = $(am_vztminer_OBJECTS)
147147 vztminer_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
148 $(am__DEPENDENCIES_2)
148 $(am__DEPENDENCIES_2) $(am__DEPENDENCIES_1)
149149 AM_V_P = $(am__v_P_@AM_V@)
150150 am__v_P_ = $(am__v_P_@AM_DEFAULT_V@)
151151 am__v_P_0 = false
254254 GCONF_LIBS = @GCONF_LIBS@
255255 GEDITTEST = @GEDITTEST@
256256 GEDIT_CFLAGS = @GEDIT_CFLAGS@
257 GIO_CFLAGS = @GIO_CFLAGS@
258 GIO_LIBS = @GIO_LIBS@
259 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
257260 GPERF = @GPERF@
258261 GREP = @GREP@
262 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
263 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
259264 GTK_CFLAGS = @GTK_CFLAGS@
260265 GTK_CONFIG = @GTK_CONFIG@
261266 GTK_LIBS = @GTK_LIBS@
303308 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
304309 POW_LIB = @POW_LIB@
305310 RANLIB = @RANLIB@
311 RPC_CFLAGS = @RPC_CFLAGS@
312 RPC_LDADD = @RPC_LDADD@
306313 SET_MAKE = @SET_MAKE@
307314 SHELL = @SHELL@
308315 STRIP = @STRIP@
313320 TCL_LIB_SPEC = @TCL_LIB_SPEC@
314321 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
315322 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
323 TIRPC_CFLAGS = @TIRPC_CFLAGS@
324 TIRPC_LIBS = @TIRPC_LIBS@
316325 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
317326 TK_LDADD = @TK_LDADD@
318327 TK_LIB_SPEC = @TK_LIB_SPEC@
339348 docdir = @docdir@
340349 dvidir = @dvidir@
341350 exec_prefix = @exec_prefix@
351 gsettingsschemadir = @gsettingsschemadir@
342352 host_alias = @host_alias@
343353 htmldir = @htmldir@
344354 includedir = @includedir@
367377 AIXFLAGS = -bmaxdata:0xd0000000/dsa
368378 LIBLZMA_CFLAGS = -I$(srcdir)/../liblzma $(LIBXZ_CFLAGS)
369379 LIBLZMA_LDADD = $(LIBXZ_LDADD)
370 AM_CFLAGS = -I$(srcdir)/.. -I$(srcdir)/../.. $(LIBZ_CFLAGS) $(LIBBZ2_CFLAGS) $(LIBLZMA_CFLAGS) $(LIBJUDY_CFLAGS) $(EXTLOAD_CFLAGS) -I$(srcdir)/fst -I$(srcdir)/../../contrib/rtlbrowse
380 AM_CFLAGS = -I$(srcdir)/.. -I$(srcdir)/../.. $(LIBZ_CFLAGS) $(LIBBZ2_CFLAGS) $(LIBLZMA_CFLAGS) $(LIBJUDY_CFLAGS) $(EXTLOAD_CFLAGS) $(RPC_CFLAGS) -I$(srcdir)/fst -I$(srcdir)/../../contrib/rtlbrowse
371381 vcd2fst_SOURCES = vcd2fst.c $(srcdir)/fst/lz4.c $(srcdir)/fst/lz4.h $(srcdir)/fst/fastlz.c $(srcdir)/fst/fastlz.h $(srcdir)/fst/fstapi.c $(srcdir)/fst/fstapi.h $(srcdir)/../../contrib/rtlbrowse/jrb.h $(srcdir)/../../contrib/rtlbrowse/jrb.c
372382 vcd2fst_LDADD = $(LIBZ_LDADD) $(LIBJUDY_LDADD)
373383 fst2vcd_SOURCES = fst2vcd.c $(srcdir)/fst/lz4.c $(srcdir)/fst/lz4.h $(srcdir)/fst/fastlz.c $(srcdir)/fst/fastlz.h $(srcdir)/fst/fstapi.c $(srcdir)/fst/fstapi.h
381391 vcd2lxt2_SOURCES = vcd2lxt2.c lxt2_write.c lxt2_write.h v2l_analyzer_lxt2.h v2l_debug_lxt2.c v2l_debug_lxt2.h
382392 vcd2lxt2_LDADD = $(LIBZ_LDADD)
383393 vzt2vcd_SOURCES = vzt_read.c vzt_read.h vzt2vcd.c scopenav.c $(srcdir)/../liblzma/LzmaLib.c $(srcdir)/../liblzma/LzmaLib.h
384 vzt2vcd_LDADD = $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD)
394 vzt2vcd_LDADD = $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD) $(RPC_LDADD)
385395 vcd2vzt_SOURCES = vcd2vzt.c vzt_write.c vzt_write.h v2l_analyzer_lxt2.h v2l_debug_lxt2.c v2l_debug_lxt2.h $(srcdir)/../liblzma/LzmaLib.c $(srcdir)/../liblzma/LzmaLib.h
386 vcd2vzt_LDADD = $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD)
396 vcd2vzt_LDADD = $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD) $(RPC_LDADD)
387397 vztminer_SOURCES = vztminer.c vzt_read.c vzt_read.h $(srcdir)/../liblzma/LzmaLib.c $(srcdir)/../liblzma/LzmaLib.h
388 vztminer_LDADD = $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD)
398 vztminer_LDADD = $(LIBZ_LDADD) $(LIBBZ2_LDADD) $(LIBLZMA_LDADD) $(RPC_LDADD)
389399 lxt2miner_SOURCES = lxt2miner.c lxt2_read.c lxt2_read.h
390400 lxt2miner_LDADD = $(LIBZ_LDADD)
391401 ghwdump_SOURCES = ghwdump.c $(srcdir)/../ghwlib.c
193193 GCONF_LIBS = @GCONF_LIBS@
194194 GEDITTEST = @GEDITTEST@
195195 GEDIT_CFLAGS = @GEDIT_CFLAGS@
196 GIO_CFLAGS = @GIO_CFLAGS@
197 GIO_LIBS = @GIO_LIBS@
198 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
196199 GPERF = @GPERF@
197200 GREP = @GREP@
201 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
202 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
198203 GTK_CFLAGS = @GTK_CFLAGS@
199204 GTK_CONFIG = @GTK_CONFIG@
200205 GTK_LIBS = @GTK_LIBS@
242247 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
243248 POW_LIB = @POW_LIB@
244249 RANLIB = @RANLIB@
250 RPC_CFLAGS = @RPC_CFLAGS@
251 RPC_LDADD = @RPC_LDADD@
245252 SET_MAKE = @SET_MAKE@
246253 SHELL = @SHELL@
247254 STRIP = @STRIP@
252259 TCL_LIB_SPEC = @TCL_LIB_SPEC@
253260 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
254261 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
262 TIRPC_CFLAGS = @TIRPC_CFLAGS@
263 TIRPC_LIBS = @TIRPC_LIBS@
255264 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
256265 TK_LDADD = @TK_LDADD@
257266 TK_LIB_SPEC = @TK_LIB_SPEC@
278287 docdir = @docdir@
279288 dvidir = @dvidir@
280289 exec_prefix = @exec_prefix@
290 gsettingsschemadir = @gsettingsschemadir@
281291 host_alias = @host_alias@
282292 htmldir = @htmldir@
283293 includedir = @includedir@
824824 /* Match description too long : reduce it */
825825 matchLength = (15-1) + (oMaxMatch-op) * 255;
826826 }
827 //printf("offset %5i, matchLength%5i \n", (int)(ip-match), matchLength + MINMATCH);
827 /*printf("offset %5i, matchLength%5i \n", (int)(ip-match), matchLength + MINMATCH);*/
828828 ip += MINMATCH + matchLength;
829829
830830 if (matchLength>=ML_MASK)
5959 char *s;
6060 const char *fst_scope_name = NULL;
6161 int fst_scope_name_len = 0;
62 long snum = 0;
63 long max_snum = 0;
62 intptr_t snum = 0;
63 intptr_t max_snum = 0;
6464
6565 while((h = fstReaderIterateHier(xc)))
6666 {
8888 case FST_HT_UPSCOPE:
8989 /* fst_scope_name = scan-build */ fstReaderPopScope(xc);
9090 fst_scope_name_len = fstReaderGetCurrentScopeLen(xc);
91 snum = fst_scope_name_len ? (long)fstReaderGetCurrentScopeUserInfo(xc) : 0;
91 snum = fst_scope_name_len ? (intptr_t)fstReaderGetCurrentScopeUserInfo(xc) : 0;
9292 break;
9393 case FST_HT_VAR:
9494 if(!h->u.var.is_alias)
195195 GCONF_LIBS = @GCONF_LIBS@
196196 GEDITTEST = @GEDITTEST@
197197 GEDIT_CFLAGS = @GEDIT_CFLAGS@
198 GIO_CFLAGS = @GIO_CFLAGS@
199 GIO_LIBS = @GIO_LIBS@
200 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
198201 GPERF = @GPERF@
199202 GREP = @GREP@
203 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
204 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
200205 GTK_CFLAGS = @GTK_CFLAGS@
201206 GTK_CONFIG = @GTK_CONFIG@
202207 GTK_LIBS = @GTK_LIBS@
244249 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
245250 POW_LIB = @POW_LIB@
246251 RANLIB = @RANLIB@
252 RPC_CFLAGS = @RPC_CFLAGS@
253 RPC_LDADD = @RPC_LDADD@
247254 SET_MAKE = @SET_MAKE@
248255 SHELL = @SHELL@
249256 STRIP = @STRIP@
254261 TCL_LIB_SPEC = @TCL_LIB_SPEC@
255262 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
256263 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
264 TIRPC_CFLAGS = @TIRPC_CFLAGS@
265 TIRPC_LIBS = @TIRPC_LIBS@
257266 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
258267 TK_LDADD = @TK_LDADD@
259268 TK_LIB_SPEC = @TK_LIB_SPEC@
280289 docdir = @docdir@
281290 dvidir = @dvidir@
282291 exec_prefix = @exec_prefix@
292 gsettingsschemadir = @gsettingsschemadir@
283293 host_alias = @host_alias@
284294 htmldir = @htmldir@
285295 includedir = @includedir@
193193 GCONF_LIBS = @GCONF_LIBS@
194194 GEDITTEST = @GEDITTEST@
195195 GEDIT_CFLAGS = @GEDIT_CFLAGS@
196 GIO_CFLAGS = @GIO_CFLAGS@
197 GIO_LIBS = @GIO_LIBS@
198 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
196199 GPERF = @GPERF@
197200 GREP = @GREP@
201 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
202 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
198203 GTK_CFLAGS = @GTK_CFLAGS@
199204 GTK_CONFIG = @GTK_CONFIG@
200205 GTK_LIBS = @GTK_LIBS@
242247 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
243248 POW_LIB = @POW_LIB@
244249 RANLIB = @RANLIB@
250 RPC_CFLAGS = @RPC_CFLAGS@
251 RPC_LDADD = @RPC_LDADD@
245252 SET_MAKE = @SET_MAKE@
246253 SHELL = @SHELL@
247254 STRIP = @STRIP@
252259 TCL_LIB_SPEC = @TCL_LIB_SPEC@
253260 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
254261 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
262 TIRPC_CFLAGS = @TIRPC_CFLAGS@
263 TIRPC_LIBS = @TIRPC_LIBS@
255264 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
256265 TK_LDADD = @TK_LDADD@
257266 TK_LIB_SPEC = @TK_LIB_SPEC@
278287 docdir = @docdir@
279288 dvidir = @dvidir@
280289 exec_prefix = @exec_prefix@
290 gsettingsschemadir = @gsettingsschemadir@
281291 host_alias = @host_alias@
282292 htmldir = @htmldir@
283293 includedir = @includedir@
198198 GCONF_LIBS = @GCONF_LIBS@
199199 GEDITTEST = @GEDITTEST@
200200 GEDIT_CFLAGS = @GEDIT_CFLAGS@
201 GIO_CFLAGS = @GIO_CFLAGS@
202 GIO_LIBS = @GIO_LIBS@
203 GLIB_COMPILE_SCHEMAS = @GLIB_COMPILE_SCHEMAS@
201204 GPERF = @GPERF@
202205 GREP = @GREP@
206 GSETTINGS_CFLAGS = @GSETTINGS_CFLAGS@
207 GSETTINGS_DISABLE_SCHEMAS_COMPILE = @GSETTINGS_DISABLE_SCHEMAS_COMPILE@
203208 GTK_CFLAGS = @GTK_CFLAGS@
204209 GTK_CONFIG = @GTK_CONFIG@
205210 GTK_LIBS = @GTK_LIBS@
247252 PKG_CONFIG_PATH = @PKG_CONFIG_PATH@
248253 POW_LIB = @POW_LIB@
249254 RANLIB = @RANLIB@
255 RPC_CFLAGS = @RPC_CFLAGS@
256 RPC_LDADD = @RPC_LDADD@
250257 SET_MAKE = @SET_MAKE@
251258 SHELL = @SHELL@
252259 STRIP = @STRIP@
257264 TCL_LIB_SPEC = @TCL_LIB_SPEC@
258265 TCL_MAJOR_VERSION = @TCL_MAJOR_VERSION@
259266 TCL_MINOR_VERSION = @TCL_MINOR_VERSION@
267 TIRPC_CFLAGS = @TIRPC_CFLAGS@
268 TIRPC_LIBS = @TIRPC_LIBS@
260269 TK_INCLUDE_SPEC = @TK_INCLUDE_SPEC@
261270 TK_LDADD = @TK_LDADD@
262271 TK_LIB_SPEC = @TK_LIB_SPEC@
283292 docdir = @docdir@
284293 dvidir = @dvidir@
285294 exec_prefix = @exec_prefix@
295 gsettingsschemadir = @gsettingsschemadir@
286296 host_alias = @host_alias@
287297 htmldir = @htmldir@
288298 includedir = @includedir@
750750 nptr np = GLOBALS->lx2_table_lx2_c_1[txidx].np;
751751
752752 histent_tail = htemp = histent_calloc();
753 if(len>1)
754 {
755 htemp->v.h_vector = (char *)malloc_2(len);
756 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
753 if(f->flags&(LXT2_RD_SYM_F_DOUBLE|LXT2_RD_SYM_F_STRING))
754 {
755 htemp->v.h_vector = strdup_2((f->flags&LXT2_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
756 htemp->flags = HIST_REAL;
757 if(f->flags&LXT2_RD_SYM_F_STRING) htemp->flags |= HIST_STRING;
757758 }
758759 else
759760 {
760 htemp->v.h_val = AN_Z; /* z */
761 if(len>1)
762 {
763 htemp->v.h_vector = (char *)malloc_2(len);
764 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
765 }
766 else
767 {
768 htemp->v.h_val = AN_Z; /* z */
769 }
761770 }
762771 htemp->time = MAX_HISTENT_TIME;
763772
764773 htemp = histent_calloc();
765 if(len>1)
766 {
767 htemp->v.h_vector = (char *)malloc_2(len);
768 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_X;
774 if(f->flags&(LXT2_RD_SYM_F_DOUBLE|LXT2_RD_SYM_F_STRING))
775 {
776 htemp->v.h_vector = strdup_2((f->flags&LXT2_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
777 htemp->flags = HIST_REAL;
778 if(f->flags&LXT2_RD_SYM_F_STRING) htemp->flags |= HIST_STRING;
769779 }
770780 else
771781 {
772 htemp->v.h_val = AN_X; /* x */
782 if(len>1)
783 {
784 htemp->v.h_vector = (char *)malloc_2(len);
785 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_X;
786 }
787 else
788 {
789 htemp->v.h_val = AN_X; /* x */
790 }
773791 }
774792 htemp->time = MAX_HISTENT_TIME-1;
775793 htemp->next = histent_tail;
796814 {
797815 np->head.flags = HIST_REAL;
798816 if(f->flags&LXT2_RD_SYM_F_STRING) np->head.flags |= HIST_STRING;
817
818 np->head.v.h_vector = strdup_2((f->flags&LXT2_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
799819 }
800820
801821 {
802822 struct HistEnt *htemp2 = histent_calloc();
803823 htemp2->time = -1;
804 if(len>1)
805 {
806 htemp2->v.h_vector = htemp->v.h_vector;
807 }
808 else
809 {
810 htemp2->v.h_val = htemp->v.h_val;
811 }
824
825 if(f->flags&(LXT2_RD_SYM_F_DOUBLE|LXT2_RD_SYM_F_STRING))
826 {
827 htemp2->v.h_vector = strdup_2((f->flags&LXT2_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
828 htemp2->flags = HIST_REAL;
829 if(f->flags&LXT2_RD_SYM_F_STRING) htemp2->flags |= HIST_STRING;
830 }
831 else
832 {
833 if(len>1)
834 {
835 htemp2->v.h_vector = htemp->v.h_vector;
836 }
837 else
838 {
839 htemp2->v.h_val = htemp->v.h_val;
840 }
841 }
812842 htemp2->next = htemp;
813843 htemp = htemp2;
814844 GLOBALS->lx2_table_lx2_c_1[txidx].numtrans++;
18521852 len = np->mv.mvlfac->len;
18531853
18541854 histent_tail = htemp = histent_calloc();
1855 if(len>1)
1856 {
1857 htemp->v.h_vector = (char *)malloc_2(len);
1858 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
1855
1856 if(f->flags&(LT_SYM_F_DOUBLE|LT_SYM_F_STRING))
1857 {
1858 htemp->v.h_vector = strdup_2((f->flags&LT_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
1859 htemp->flags = HIST_REAL;
1860 if(f->flags&LT_SYM_F_STRING) htemp->flags |= HIST_STRING;
18591861 }
18601862 else
18611863 {
1862 htemp->v.h_val = AN_Z; /* z */
1864 if(len>1)
1865 {
1866 htemp->v.h_vector = (char *)malloc_2(len);
1867 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
1868 }
1869 else
1870 {
1871 htemp->v.h_val = AN_Z; /* z */
1872 }
18631873 }
18641874 htemp->time = MAX_HISTENT_TIME;
18651875
18661876 histent_head = histent_calloc();
1867 if(len>1)
1868 {
1869 histent_head->v.h_vector = (char *)malloc_2(len);
1870 for(i=0;i<len;i++) histent_head->v.h_vector[i] = AN_X;
1877 if(f->flags&(LT_SYM_F_DOUBLE|LT_SYM_F_STRING))
1878 {
1879 histent_head->v.h_vector = strdup_2((f->flags&LT_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
1880 histent_head->flags = HIST_REAL;
1881 if(f->flags&LT_SYM_F_STRING) histent_head->flags |= HIST_STRING;
18711882 }
18721883 else
18731884 {
1874 histent_head->v.h_val = AN_X; /* x */
1885 if(len>1)
1886 {
1887 histent_head->v.h_vector = (char *)malloc_2(len);
1888 for(i=0;i<len;i++) histent_head->v.h_vector[i] = AN_X;
1889 }
1890 else
1891 {
1892 histent_head->v.h_val = AN_X; /* x */
1893 }
18751894 }
18761895 histent_head->time = MAX_HISTENT_TIME-1;
18771896 histent_head->next = htemp; /* x */
304304 #define CHDIR_GETOPT
305305 #endif
306306
307 #ifdef WAVE_HAVE_GCONF
307 #if defined(WAVE_HAVE_GCONF) || defined(WAVE_HAVE_GSETTINGS)
308308 #define RPC_GETOPT " -1, --rpcid=RPCID specify RPCID of GConf session\n"
309 #if defined(WAVE_HAVE_GCONF)
309310 #define RPC_GETOPT3 " -3, --restore restore previous RPCID numbered session\n"
311 #else
312 #define RPC_GETOPT3 " -3, --restore restore previous session\n"
313 #endif
310314 #else
311315 #define RPC_GETOPT
312316 #define RPC_GETOPT3
343347 CHDIR_GETOPT
344348 RPC_GETOPT3
345349 " -4, --rcvar specify single rc variable values individually\n"
350 " -5, --sstexclude specify sst exclusion filter filename\n"
346351 INTR_GETOPT
347352 " -C, --comphier use compressed hierarchy names (slower)\n"
348353 " -g, --giga use gigabyte mempacking when recoding (slower)\n"
696701 GLOBALS->do_hier_compress = old_g->do_hier_compress;
697702 GLOBALS->disable_auto_comphier = old_g->disable_auto_comphier;
698703
704 strcpy2_into_new_context(GLOBALS, &GLOBALS->sst_exclude_filename, &old_g->sst_exclude_filename);
705
699706 strcpy2_into_new_context(GLOBALS, &GLOBALS->editor_name, &old_g->editor_name);
700707 strcpy2_into_new_context(GLOBALS, &GLOBALS->fontname_logfile, &old_g->fontname_logfile);
701708 strcpy2_into_new_context(GLOBALS, &GLOBALS->fontname_signals, &old_g->fontname_signals);
816823 {"chdir", 1, 0, '2'},
817824 {"restore", 0, 0, '3'},
818825 {"rcvar", 1, 0, '4'},
826 {"sstexclude", 1, 0, '5'},
819827 {0, 0, 0, 0}
820828 };
821829
822 c = getopt_long (argc, argv, "zf:Fon:a:Ar:dl:s:e:c:t:NS:vVhxX:MD:IgCLR:P:O:WT:1:2:34:", long_options,
830 c = getopt_long (argc, argv, "zf:Fon:a:Ar:dl:s:e:c:t:NS:vVhxX:MD:IgCLR:P:O:WT:1:2:34:5:", long_options,
823831 &option_index);
824832
825833 if (c == -1) break; /* no more args */
957965 break;
958966
959967 case '3':
960 #ifdef WAVE_HAVE_GCONF
968 #if defined(WAVE_HAVE_GCONF) || defined(WAVE_HAVE_GSETTINGS)
961969 {
962970 is_vcd = 0;
963971 wave_gconf_restore(&GLOBALS->loaded_file_name, &wname, &override_rc, &chdir_cache, &opt_vcd);
10321040 }
10331041 }
10341042 break;
1043
1044 case '5':
1045 {
1046 if(GLOBALS->sst_exclude_filename)
1047 {
1048 free_2(GLOBALS->sst_exclude_filename);
1049 }
1050 GLOBALS->sst_exclude_filename = strdup_2(optarg);
1051 }
1052 break;
10351053
10361054 case 's':
10371055 if(GLOBALS->skip_start) free_2(GLOBALS->skip_start);
13901408 }
13911409
13921410 strcat(GLOBALS->winname,GLOBALS->loaded_file_name);
1411 sst_exclusion_loader();
13931412
13941413 loader_check_head:
13951414
25222541 scriptfile=NULL;
25232542 }
25242543
2525 #ifdef WAVE_HAVE_GCONF
2544 #if defined(WAVE_HAVE_GCONF) || defined(WAVE_HAVE_GSETTINGS)
25262545 if(GLOBALS->loaded_file_type != MISSING_FILE)
25272546 {
25282547 if(!chdir_cache) { wave_gconf_client_set_string("/current/pwd", getenv("PWD")); }
38413841 /* at least one good trace, so do it */
38423842 /* data contains WV_MENU_SPS or WV_MENU_SPS2 or ... but the base is WV_MENU_SPS*/
38433843 char buf[128];
3844 long which = ((long)callback_action) - WV_MENU_SPS;
3844 intptr_t which = ((long)callback_action) - WV_MENU_SPS;
38453845
38463846 if((which < 0) || (which >= WAVE_NUM_STRACE_WINDOWS))
38473847 { /* should never happen unless menus are defined wrong */
50395039 (void)data;
50405040
50415041 FILE *wave;
5042 int len;
50425043
50435044 if(!GLOBALS->filesel_ok)
50445045 {
5046 return;
5047 }
5048
5049 len = strlen(*GLOBALS->fileselbox_text);
5050 if((!len) || ((*GLOBALS->fileselbox_text)[len-1] == '/')
5051 #if !defined __MINGW32__ && !defined _MSC_VER
5052 || ((*GLOBALS->fileselbox_text)[len-1] == '\\')
5053 #endif
5054 )
5055 {
5056 GLOBALS->save_success_menu_c_1 = 2;
50455057 return;
50465058 }
50475059
50915103 (void)null_data;
50925104 (void)callback_action;
50935105 (void)widget;
5106 int len = 0;
50945107
50955108 if(GLOBALS->helpbox_is_active)
50965109 {
51025115 return;
51035116 }
51045117
5105 if(!GLOBALS->filesel_writesave)
5118 if(GLOBALS->filesel_writesave)
5119 {
5120 len = strlen(GLOBALS->filesel_writesave);
5121 }
5122
5123 if ((!len) || (GLOBALS->filesel_writesave[len-1] == '/')
5124 #if !defined __MINGW32__ && !defined _MSC_VER
5125 || (GLOBALS->filesel_writesave[len-1] == '\\')
5126 #endif
5127 )
51065128 {
51075129 fileselbox("Write Save File",&GLOBALS->filesel_writesave,GTK_SIGNAL_FUNC(menu_write_save_cleanup), GTK_SIGNAL_FUNC(NULL), GLOBALS->is_gtkw_save_file ? "*.gtkw" : "*.sav", 1);
51085130 }
51125134 GLOBALS->save_success_menu_c_1 = 0;
51135135 GLOBALS->fileselbox_text = &GLOBALS->filesel_writesave;
51145136 menu_write_save_cleanup(NULL, NULL);
5115 if(GLOBALS->save_success_menu_c_1)
5116 {
5117 status_text("Wrote save file OK.\n");
5118 }
5119 else
5120 {
5121 status_text("Problem writing save file.\n");
5137 if(GLOBALS->save_success_menu_c_1 != 2) /* cancelled */
5138 {
5139 if(GLOBALS->save_success_menu_c_1)
5140 {
5141 status_text("Wrote save file OK.\n");
5142 }
5143 else
5144 {
5145 status_text("Problem writing save file.\n");
5146 }
51225147 }
51235148 }
51245149 }
64336458 help_text(
64346459 " will step through all highlighted traces and ensure that"
64356460 " vectors with this qualifier will be displayed with Real"
6436 " values. Note that this only works for 64-bit quantities"
6437 " and that ones of other sizes will display as binary."
6461 " values. Note that this only works for 64 or 32-bit quantities"
6462 " and that ones of other sizes (e.g., binary16) will display as binary."
64386463 );
64396464 return;
64406465 }
73627387 if(GLOBALS->dnd_state) { dnd_error(); return; } /* don't mess with sigs when dnd active */
73637388
73647389 DEBUG(printf("Cut Traces\n"));
7390
7391 /* fix up if there are traces above the current row being cut */
7392 if(GLOBALS->wave_vslider)
7393 {
7394 GtkAdjustment *wadj=GTK_ADJUSTMENT(GLOBALS->wave_vslider);
7395 int value = wadj->value;
7396
7397 Trptr t = GLOBALS->traces.first;
7398 int cnt = 0;
7399 int high = 0;
7400
7401 while(t)
7402 {
7403 if(cnt >= value) break;
7404
7405 if(t->flags & TR_HIGHLIGHT)
7406 {
7407 high++;
7408 }
7409
7410 t = GiveNextTrace(t);
7411 cnt++;
7412 }
7413
7414 if(value - high > 0) { wadj->value -= high; }
7415 }
73657416
73667417 cutbuffer = CutBuffer();
73677418 if(cutbuffer)
89539004
89549005 if(!ptr->child && mi[ptr->idx].callback)
89559006 {
8956 g_signal_connect (menuitem, "activate", G_CALLBACK (mi[ptr->idx].callback), (gpointer)(long)mi[ptr->idx].callback_action);
9007 g_signal_connect (menuitem, "activate", G_CALLBACK (mi[ptr->idx].callback), (gpointer)(intptr_t)mi[ptr->idx].callback_action);
89579008 alt_menu_install_accelerator(accel, menuitem, mi[ptr->idx].accelerator, mi[ptr->idx].path);
89589009 }
89599010 }
297297 if(GLOBALS->clipboard_mouseover)
298298 {
299299 GdkDisplay *g = gdk_display_get_default();
300 GtkClipboard *clip = gtk_clipboard_get_for_display (g, GDK_SELECTION_CLIPBOARD); /* GDK_SELECTION_PRIMARY is middle mouse button */
300 GtkClipboard *clip;
301
302 if(t->name)
303 {
304 clip = gtk_clipboard_get_for_display (g, GDK_SELECTION_PRIMARY); /* middle mouse button */
305 gtk_clipboard_set_text (clip, t->name, strlen(t->name));
306 }
307
308 clip = gtk_clipboard_get_for_display (g, GDK_SELECTION_CLIPBOARD); /* ctrl-c/ctrl-v */
301309 gtk_clipboard_set_text (clip, asciivalue ? asciivalue : "", value_charlen);
302310 }
303311 #endif
339339 #ifdef WAVE_USE_GTK2
340340 if(GLOBALS->clipboard_mouseover)
341341 {
342 GdkDisplay *g = gdk_display_get_default();
343 GtkClipboard *clip = gtk_clipboard_get_for_display (g, GDK_SELECTION_CLIPBOARD); /* GDK_SELECTION_PRIMARY is middle mouse button */
344 gtk_clipboard_set_text (clip, asciivalue ? asciivalue : "", value_charlen);
342 GdkDisplay *g = gdk_display_get_default();
343 GtkClipboard *clip;
344
345 if(t->name)
346 {
347 clip = gtk_clipboard_get_for_display (g, GDK_SELECTION_PRIMARY); /* middle mouse button */
348 gtk_clipboard_set_text (clip, t->name, strlen(t->name));
349 }
350
351 clip = gtk_clipboard_get_for_display (g, GDK_SELECTION_CLIPBOARD); /* ctrl-c/ctrl-v */
352 gtk_clipboard_set_text (clip, asciivalue ? asciivalue : "", value_charlen);
345353 }
346354 #endif
347355
14331433 int notfirst=0;
14341434 TimeType *t;
14351435 int t_allocated;
1436 TimeType orig_basetime;
14361437
14371438 if(GLOBALS->strace_ctx->timearray)
14381439 {
14831484 t_allocated = 1;
14841485 t = malloc_2(sizeof(TimeType) * t_allocated);
14851486
1487 orig_basetime = basetime;
14861488 while(1)
14871489 {
14881490 basetime=strace_timetrace(basetime, notfirst);
14971499 if(basetime>endtime) break; /* formerly was >= which didn't mark the endpoint if true which is incorrect */
14981500 } /* i.e., if start is markable, end should be also */
14991501
1500 t[GLOBALS->strace_ctx->timearray_size] = basetime;
1501 GLOBALS->strace_ctx->timearray_size++;
1502 if(GLOBALS->strace_ctx->timearray_size == t_allocated)
1503 {
1504 t_allocated *= 2;
1505 t = realloc_2(t, sizeof(TimeType) * t_allocated);
1502 if(basetime >= orig_basetime)
1503 {
1504 t[GLOBALS->strace_ctx->timearray_size] = basetime;
1505 GLOBALS->strace_ctx->timearray_size++;
1506 if(GLOBALS->strace_ctx->timearray_size == t_allocated)
1507 {
1508 t_allocated *= 2;
1509 t = realloc_2(t, sizeof(TimeType) * t_allocated);
1510 }
15061511 }
15071512 }
15081513
9393 #ifdef _WAVE_HAVE_JUDY
9494 static int gen_hier_string(char *dest, void *pnt)
9595 {
96 unsigned long p = (unsigned long)(pnt);
96 uintptr_t p = (uintptr_t)(pnt);
9797 char *dest_copy = dest;
9898
9999 while(p)
920920
921921 if(t2->child)
922922 {
923 if(!graft)
924 {
925 sibling_test=maketree_nodes(subtree, t2, sibling, MAKETREE_NODE);
926 }
923 int blacklist = 0;
924
925 if(GLOBALS->exclhiermask)
926 {
927 uint64_t exclone = 1;
928 if((exclone << t2->kind) & GLOBALS->exclhiermask) blacklist = 1;
929 }
930
931 if(GLOBALS->exclinstname)
932 {
933 JRB str = jrb_find_str(GLOBALS->exclinstname, t2->name);
934 if(str) blacklist = 1;
935 }
936
937 if(GLOBALS->exclcompname)
938 {
939 int thidx = -t2->t_which + WAVE_T_WHICH_COMPNAME_START;
940 char *sc = ((thidx >= 0) && (thidx < GLOBALS->comp_name_serial)) ? GLOBALS->comp_name_idx[thidx] : t2->name;
941
942 JRB str = jrb_find_str(GLOBALS->exclcompname, sc);
943 if(str) blacklist = 1;
944 }
945
946 if(!blacklist)
947 {
948 if(!graft)
949 {
950 sibling_test=maketree_nodes(subtree, t2, sibling, MAKETREE_NODE);
951 }
927952 else
928 {
929 sibling_test = graft;
930 }
931 if(sibling_test)
932 {
933 GLOBALS->any_tree_node = sibling_test;
934 maketree2(sibling=sibling_test, t2->child, depth + 1, NULL);
953 {
954 sibling_test = graft;
955 }
956 if(sibling_test)
957 {
958 GLOBALS->any_tree_node = sibling_test;
959 maketree2(sibling=sibling_test, t2->child, depth + 1, NULL);
960 }
935961 }
936962 }
937963
10021028
10031029 #endif
10041030
1031
1032 /*
1033 * SST Exclusion filtering for XXX_maketree2() above
1034 */
1035 #define SST_EXCL_MESS "SSTEXCL | "
1036
1037 enum sst_excl_mode
1038 {
1039 SST_EXCL_NONE,
1040 SST_EXCL_HIER,
1041 SST_EXCL_COMP,
1042 SST_EXCL_INST
1043 };
1044
1045 void sst_exclusion_loader(void)
1046 {
1047 JRB str;
1048 Jval jv;
1049
1050 int dummy = 0;
1051
1052 if(GLOBALS->sst_exclude_filename)
1053 {
1054 FILE *f = fopen(GLOBALS->sst_exclude_filename, "rb");
1055 int exclmode = SST_EXCL_NONE;
1056 uint64_t exclhier = 0;
1057 uint64_t exclone = 1;
1058
1059 if(!f)
1060 {
1061 fprintf(stderr, SST_EXCL_MESS"Could not open '%s' SST exclusion file!\n", GLOBALS->sst_exclude_filename);
1062 fprintf(stderr, SST_EXCL_MESS);
1063 perror("Why");
1064 return;
1065 }
1066
1067 fprintf(stderr, SST_EXCL_MESS"Processing '%s'.\n", GLOBALS->sst_exclude_filename);
1068
1069 while(!feof(f))
1070 {
1071 char *iline = fgetmalloc(f);
1072 if(iline)
1073 {
1074 char *p = iline;
1075 char *e;
1076
1077 while (*p)
1078 {
1079 if(isspace(*p)) p++; else break;
1080 }
1081
1082 e = p;
1083 while (*e)
1084 {
1085 if(isspace(*e)) { *e = 0; break; }
1086 e++;
1087 }
1088
1089 switch (*p)
1090 {
1091 case '#': break;
1092 case '/': break;
1093
1094 case '[':
1095 if(!strcmp(p, "[hiertype]")) { exclmode = SST_EXCL_HIER; }
1096 else if(!strcmp(p, "[compname]")) { exclmode = SST_EXCL_COMP; }
1097 else if(!strcmp(p, "[instname]")) { exclmode = SST_EXCL_INST; }
1098 else { exclmode = SST_EXCL_NONE; }
1099 break;
1100
1101 default:
1102 switch(exclmode)
1103 {
1104 case SST_EXCL_HIER: /* this if/else chain is good enough for an init script */
1105 if(!strcmp(p, "VCD_ST_MODULE")) { exclhier |= exclone << TREE_VCD_ST_MODULE; }
1106 else if(!strcmp(p, "VCD_ST_TASK")) { exclhier |= exclone << TREE_VCD_ST_TASK; }
1107 else if(!strcmp(p, "VCD_ST_FUNCTION")) { exclhier |= exclone << TREE_VCD_ST_FUNCTION; }
1108 else if(!strcmp(p, "VCD_ST_BEGIN")) { exclhier |= exclone << TREE_VCD_ST_BEGIN; }
1109 else if(!strcmp(p, "VCD_ST_FORK")) { exclhier |= exclone << TREE_VCD_ST_FORK; }
1110 else if(!strcmp(p, "VCD_ST_GENERATE")) { exclhier |= exclone << TREE_VCD_ST_GENERATE; }
1111 else if(!strcmp(p, "VCD_ST_STRUCT")) { exclhier |= exclone << TREE_VCD_ST_STRUCT; }
1112 else if(!strcmp(p, "VCD_ST_UNION")) { exclhier |= exclone << TREE_VCD_ST_UNION; }
1113 else if(!strcmp(p, "VCD_ST_CLASS")) { exclhier |= exclone << TREE_VCD_ST_CLASS; }
1114 else if(!strcmp(p, "VCD_ST_INTERFACE")) { exclhier |= exclone << TREE_VCD_ST_INTERFACE; }
1115 else if(!strcmp(p, "VCD_ST_PACKAGE")) { exclhier |= exclone << TREE_VCD_ST_PACKAGE; }
1116 else if(!strcmp(p, "VCD_ST_PROGRAM")) { exclhier |= exclone << TREE_VCD_ST_PROGRAM; }
1117 else if(!strcmp(p, "VHDL_ST_DESIGN")) { exclhier |= exclone << TREE_VHDL_ST_DESIGN; }
1118 else if(!strcmp(p, "VHDL_ST_BLOCK")) { exclhier |= exclone << TREE_VHDL_ST_BLOCK; }
1119 else if(!strcmp(p, "VHDL_ST_GENIF")) { exclhier |= exclone << TREE_VHDL_ST_GENIF; }
1120 else if(!strcmp(p, "VHDL_ST_GENFOR")) { exclhier |= exclone << TREE_VHDL_ST_GENFOR; }
1121 else if(!strcmp(p, "VHDL_ST_INSTANCE")) { exclhier |= exclone << TREE_VHDL_ST_INSTANCE; }
1122 else if(!strcmp(p, "VHDL_ST_PACKAGE")) { exclhier |= exclone << TREE_VHDL_ST_PACKAGE; }
1123 else if(!strcmp(p, "VHDL_ST_PACKAGE")) { exclhier |= exclone << TREE_VHDL_ST_PACKAGE; }
1124
1125 else if(!strcmp(p, "VHDL_ST_SIGNAL")) { exclhier |= exclone << TREE_VHDL_ST_SIGNAL; }
1126 else if(!strcmp(p, "VHDL_ST_PORTIN")) { exclhier |= exclone << TREE_VHDL_ST_PORTIN; }
1127 else if(!strcmp(p, "VHDL_ST_PORTOUT")) { exclhier |= exclone << TREE_VHDL_ST_PORTOUT; }
1128 else if(!strcmp(p, "VHDL_ST_PORTINOUT")) { exclhier |= exclone << TREE_VHDL_ST_PORTINOUT; }
1129 else if(!strcmp(p, "VHDL_ST_BUFFER")) { exclhier |= exclone << TREE_VHDL_ST_BUFFER; }
1130 else if(!strcmp(p, "VHDL_ST_LINKAGE")) { exclhier |= exclone << TREE_VHDL_ST_LINKAGE; }
1131
1132 else if(!strcmp(p, "VHDL_ST_ARCHITECTURE")) { exclhier |= exclone << TREE_VHDL_ST_ARCHITECTURE; }
1133 else if(!strcmp(p, "VHDL_ST_FUNCTION")) { exclhier |= exclone << TREE_VHDL_ST_FUNCTION; }
1134 else if(!strcmp(p, "VHDL_ST_PROCEDURE")) { exclhier |= exclone << TREE_VHDL_ST_PROCEDURE; }
1135 else if(!strcmp(p, "VHDL_ST_RECORD")) { exclhier |= exclone << TREE_VHDL_ST_RECORD; }
1136 else if(!strcmp(p, "VHDL_ST_PROCESS")) { exclhier |= exclone << TREE_VHDL_ST_PROCESS; }
1137 else if(!strcmp(p, "VHDL_ST_GENERATE")) { exclhier |= exclone << TREE_VHDL_ST_GENERATE; }
1138 break;
1139
1140 case SST_EXCL_COMP:
1141 if(!GLOBALS->exclcompname) { GLOBALS->exclcompname = make_jrb(); }
1142 str = jrb_find_str(GLOBALS->exclcompname, p);
1143 jv.i = dummy++;
1144 if(!str) jrb_insert_str(GLOBALS->exclcompname, strdup_2(p), jv);
1145 break;
1146
1147 case SST_EXCL_INST:
1148 if(!GLOBALS->exclinstname) { GLOBALS->exclinstname = make_jrb(); }
1149 str = jrb_find_str(GLOBALS->exclinstname, p);
1150 jv.i = dummy++;
1151 if(!str) jrb_insert_str(GLOBALS->exclinstname, strdup_2(p), jv);
1152 break;
1153
1154 default: break;
1155 }
1156 break;
1157 }
1158
1159 free_2(iline);
1160 }
1161
1162 GLOBALS->exclhiermask |= exclhier;
1163 }
1164
1165 fclose(f);
1166 }
1167
1168 }
169169 #define talloc_2(x) calloc_2(1,(x))
170170 #endif
171171
172
173 void sst_exclusion_loader(void);
174
172175 #endif
173176
796796 return(GLOBALS->is_active_treesearch_gtk2_c_6);
797797 }
798798
799 #if 0
799800 static void enter_callback_e(GtkWidget *widget, GtkWidget *nothing)
800801 {
801802 (void)widget;
879880 gtk_widget_show(GLOBALS->window1_treesearch_gtk2_c_3);
880881 wave_gtk_grab_add(GLOBALS->window1_treesearch_gtk2_c_3);
881882 }
883 #endif
882884
883885 /***************************************************************************/
884886
12621264 {
12631265 GtkWidget *scrolled_win, *sig_scroll_win;
12641266 GtkWidget *hbox;
1265 GtkWidget *button1, *button2, *button3, *button3a, *button4, *button5;
1267 GtkWidget *button1, *button2, *button4, *button5;
12661268 GtkWidget *frameh, *sig_frame;
12671269 GtkWidget *vbox, *vpan, *filter_hbox;
12681270 GtkWidget *filter_label;
15491551
15501552 GtkWidget *scrolled_win, *sig_scroll_win;
15511553 GtkWidget *hbox;
1552 GtkWidget *button1, *button2, *button3, *button3a, *button4;
1554 GtkWidget *button1, *button2, *button4;
15531555 GtkWidget *frameh, *sig_frame;
15541556 GtkWidget *vbox, *vpan, *filter_hbox;
15551557 GtkWidget *filter_label;
2626 static int use_embedded = 1;
2727 #endif
2828
29 #if !defined _MSC_VER && defined WAVE_USE_GTK2
30
3129 static int plug_removed(GtkWidget *widget, gpointer data)
3230 {
3331 (void)widget;
6361 struct gtkwave_dual_ipc_t *dual_ctx;
6462 char buf[257], buf2[257];
6563 int shmid;
66 GtkWidget *main_vbox, *mainwindow, *vpan;
64 GtkWidget *mainwindow;
6765 int i;
6866 int split_point = -1;
6967 #ifdef __MINGW32__
7169 HANDLE hMapFile;
7270 #endif
7371
72 #if !defined(__MINGW32__) && !defined(_MSC_VER)
7473 GtkWidget *xsocket[2] = { NULL, NULL };
74 GtkWidget *main_vbox, *vpan;
75 #endif
7576
7677 WAVE_LOCALE_FIX
7778
122123
123124 gtk_signal_connect(GTK_OBJECT(mainwindow), "destroy", GTK_SIGNAL_FUNC(quit_callback), "WM destroy");
124125
125
126 #if !defined(__MINGW32__) && !defined(_MSC_VER)
126127 xsocket[0] = gtk_socket_new ();
127128 xsocket[1] = gtk_socket_new ();
128129 gtk_widget_show (xsocket[0]);
144145 gtk_signal_connect(GTK_OBJECT(xsocket[1]), "plug-removed", GTK_SIGNAL_FUNC(plug_removed), NULL);
145146
146147 gtk_paned_pack2 (GTK_PANED (vpan), xsocket[1], TRUE, FALSE);
148 #endif
147149
148150 #ifdef __MINGW32__
149151 shmid = getpid();
460462
461463 return(0);
462464 }
463
464 #else
465
466 int main(int argc, char **argv)
467 {
468 (void)argc;
469 (void)argv;
470
471 #ifndef WAVE_USE_GTK2
472 fprintf(stderr, "Sorry, this requires GTK+-2.0 or greater to run!\n");
473 #endif
474
475 #if defined _MSC_VER || defined __MINGW32__
476 fprintf(stderr, "Sorry, this doesn't run under Win32!\n");
477 #endif
478
479 fprintf(stderr, "If you find that this program works on your platform, please report this to the maintainers.\n");
480
481 return(255);
482 }
483
484 #endif
305305 struct vlist_t *vlist_summary_index;
306306
307307 struct vcdsymbol *v = GLOBALS->vcdsymroot_vcd_recoder_c_3;
308 guint64 val = (guint64)(unsigned long)GLOBALS->time_vlist_vcd_recoder_write;
308 guint64 val = (guint64)(uintptr_t)GLOBALS->time_vlist_vcd_recoder_write;
309309 guint64 nval;
310310 char buf[33];
311311 char *pnt;
328328 while(v)
329329 {
330330 nptr n = v->narray[0];
331 nval = (guint64)(unsigned long)n->mv.mvlfac_vlist;
331 nval = (guint64)(uintptr_t)n->mv.mvlfac_vlist;
332332
333333 vlist_packer_emit_uv64(&vlist_p, nval - val);
334334 val = nval;
343343 vlist_freeze(&vlist_summary_index);
344344
345345 pnt = buf;
346 val = (guint64)(unsigned long)vlist_summary_index;
346 val = (guint64)(uintptr_t)vlist_summary_index;
347347 while((nval = val>>7))
348348 {
349349 *(pnt++) = (val&0x7f);
00 /*
1 * Copyright (c) Tony Bybell 2010-2017.
1 * Copyright (c) Tony Bybell 2010-2018.
22 *
33 * This program is free software; you can redistribute it and/or
44 * modify it under the terms of the GNU General Public License
99 #ifndef WAVE_VERSION_H
1010 #define WAVE_VERSION_H
1111
12 #define WAVE_VERSION_INFO "GTKWave Analyzer v" PACKAGE_VERSION " (w)1999-2017 BSI"
12 #define WAVE_VERSION_INFO "GTKWave Analyzer v" PACKAGE_VERSION " (w)1999-2018 BSI"
1313
1414 #endif
7777 */
7878 static int vlist_fread_hdr(struct vlist_t *vl, FILE *f)
7979 {
80 unsigned long val;
80 uintptr_t val;
8181 unsigned int vali;
8282 int ch, shamt, rc = 0;
8383
136136 {
137137 unsigned char mem[ 4 * sizeof(long) * 2];
138138 unsigned char *pnt = mem;
139 unsigned long val, nxt;
139 uintptr_t val, nxt;
140140 unsigned int vali, nxti;
141141 int offs_as_int;
142142 int rc;
143143 int len = 0;
144144
145 val = (unsigned long)(vl->next);
145 val = (uintptr_t)(vl->next);
146146 while((nxt = val>>7))
147147 {
148148 *(pnt++) = (val&0x7f);
279279 {
280280 struct vlist_t vhdr;
281281 struct vlist_t *vrebuild;
282 long vl_offs = (long)vl;
282 uintptr_t vl_offs = (uintptr_t)vl;
283283 int rc;
284284
285285 off_t seekpos = (off_t) vl_offs; /* possible overflow conflicts were already handled in the writer */
400400 if(compressable && GLOBALS->vlist_handle)
401401 {
402402 size_t rc;
403 long write_cnt;
403 uintptr_t write_cnt;
404404
405405 fseeko(GLOBALS->vlist_handle, GLOBALS->vlist_bytes_written, SEEK_SET);
406406
540540 if(GLOBALS->vlist_handle)
541541 {
542542 size_t rc;
543 long write_cnt;
543 uintptr_t write_cnt;
544544
545545 vl = *v;
546546 fseeko(GLOBALS->vlist_handle, GLOBALS->vlist_bytes_written, SEEK_SET);
715715 nptr np = GLOBALS->vzt_table_vzt_c_1[txidx].np;
716716
717717 histent_tail = htemp = histent_calloc();
718 if(len>1)
719 {
720 htemp->v.h_vector = (char *)malloc_2(len);
721 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
722 }
723 else
724 {
725 htemp->v.h_val = AN_Z; /* z */
718 if(f->flags&(VZT_RD_SYM_F_DOUBLE|VZT_RD_SYM_F_STRING))
719 {
720 htemp->v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
721 htemp->flags = HIST_REAL;
722 if(f->flags&VZT_RD_SYM_F_STRING) htemp->flags |= HIST_STRING;
723 }
724 else
725 {
726 if(len>1)
727 {
728 htemp->v.h_vector = (char *)malloc_2(len);
729 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_Z;
730 }
731 else
732 {
733 htemp->v.h_val = AN_Z; /* z */
734 }
726735 }
727736 htemp->time = MAX_HISTENT_TIME;
728737
729738 htemp = histent_calloc();
730 if(len>1)
731 {
732 htemp->v.h_vector = (char *)malloc_2(len);
733 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_X;
734 }
735 else
736 {
737 htemp->v.h_val = AN_X; /* x */
739 if(f->flags&(VZT_RD_SYM_F_DOUBLE|VZT_RD_SYM_F_STRING))
740 {
741 htemp->v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
742 htemp->flags = HIST_REAL;
743 if(f->flags&VZT_RD_SYM_F_STRING) htemp->flags |= HIST_STRING;
744 }
745 else
746 {
747 if(len>1)
748 {
749 htemp->v.h_vector = (char *)malloc_2(len);
750 for(i=0;i<len;i++) htemp->v.h_vector[i] = AN_X;
751 }
752 else
753 {
754 htemp->v.h_val = AN_X; /* x */
755 }
738756 }
739757 htemp->time = MAX_HISTENT_TIME-1;
740758 htemp->next = histent_tail;
761779 {
762780 np->head.flags = HIST_REAL;
763781 if(f->flags&VZT_RD_SYM_F_STRING) np->head.flags |= HIST_STRING;
782
783 np->head.v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
764784 }
765785
766786 {
767787 struct HistEnt *htemp2 = histent_calloc();
768788 htemp2->time = -1;
769 if(len>1)
770 {
771 htemp2->v.h_vector = htemp->v.h_vector;
772 }
773 else
774 {
775 htemp2->v.h_val = htemp->v.h_val;
776 }
789
790 if(f->flags&(VZT_RD_SYM_F_DOUBLE|VZT_RD_SYM_F_STRING))
791 {
792 htemp2->v.h_vector = strdup_2((f->flags&VZT_RD_SYM_F_DOUBLE) ? "NaN" : "UNDEF");
793 htemp2->flags = HIST_REAL;
794 if(f->flags&VZT_RD_SYM_F_STRING) htemp2->flags |= HIST_STRING;
795 }
796 else
797 {
798 if(len>1)
799 {
800 htemp2->v.h_vector = htemp->v.h_vector;
801 }
802 else
803 {
804 htemp2->v.h_val = htemp->v.h_val;
805 }
806 }
777807 htemp2->next = htemp;
778808 htemp = htemp2;
779809 GLOBALS->vzt_table_vzt_c_1[txidx].numtrans++;