Codebase list gtkwave / debian/3.3.17-1 CHANGELOG.TXT
debian/3.3.17-1

Tree @debian/3.3.17-1 (Download .tar.gz)

CHANGELOG.TXT @debian/3.3.17-1raw · history · blame

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
3.0.0	01may06	Initial release after promotion from 1.3.86 in order to reduce
		confusion with 2.x series.
		Added user's manual pdf file to distribution in doc/.
		Added vertex and rtlbrowse for sourcecode annotation.
3.0.1	09may06	Automatically add extensions to save filenames in gtkwave.
		Cygwin compile fixes.  Add Cygwin functionality for fork() 
		related ops that do in fact work properly.
3.0.2   09may06 More fixes for full function (except pthreads) in Cygwin.  
		Requires usage of Cygserver if rtlbrowse is to work.
		Fixed fonts for Cygwin to improve readability.  
		The Courier font is very bad looking and blitter mangled under
		cygwin.
3.0.3	29may06	Changed yylineno references in vlex.l in rtlbrowse to 
		my_yylineno as newer versions of flex automatically define 
		this and cause duplicate symbols.
		Saw there were still problems with courier on debian.  Also
		check for misc-fixed on non-cygwin systems just in case.
3.0.4	30may06 Added busy watch (hourglass) for long ops that lock the GUI.
		Fixed replace function in treesearch_gtk2 so it doesn't
		simply replace with the last signal.  (Iterator shouldn't
		be used the way it was.)
3.0.5	08jun06 Reworked tree structure code to handle new tree layout
		such that strings are embedded at the end of struct.  This
		reduces memory usage overall.
		Added in implicit hierarchy split on pipe character in
		symbol names as these only really happen in netlists that
		have escaped identifiers.
		For vzt and lxt2 loaders added block allocation of symbols
		and nodes during init in order to allow denser memory
		allocation as the bookkeeping overhead between allocations
		internal to malloc() is unnecessary as the number of
		elements is known at the outset.  Also removed hashing in
		aet2, lxt, lxt2, vzt as sym[hv] is unused on sorted facs.
		Removed unnecessary strlen() calls after sprintf() in the
		dumpfile loaders as the string length is known from the rc
		of sprintf.
		Fixed T_SCOPE rule in vcd.c as dotted hierarchies would
		throw off the parser as hierarchies themselves are 
		(in practice) never really escaped.
		In tree.c, treenamefix() would recurse more than necessary
		such that some dotted hierarchies would cause an order of
		n squared recursion and as such never complete running
		due to the size of the hierarchy.
		Found non _2 versions of memory allocate/free being used
		in various non-library places.
		Fixed signal aliasing problems in GHW traces that have
		memories or aliased signals in ghw.c.
		Added handling for e8 datatypes and arrays in ghwlib.c
		and ghw.c.
		Updated ghwlib.c and main.c in order to handle gzip or
		bzip2 compressed files.  Extension can be .ghw, .ghw.gz,
		or .ghw.bz2 and the file will be handled properly.  Note
		that as the matchword is consulted, just .ghw can be used
		to specify a gzip or bzip2 file implicitly.
		Added ghwdump and ghwdump.1 to the distribution (from ghdl)
		as it is helpful for debugging ghw file failures.
3.0.6	14jul06	Added "Real" option for data type display as passing around
		real numbers in Verilog across modules needs to be done
		with $realtobits and $bitstoreal.
		Added scripting command flag --script in order to allow 
		autosetup of things that are outside of config file control.
		This also allows doing things such as automated print jobs.
		Added --nowm to remove window manager control on most
		windows the viewer brings up.
		Added --xid to allow turning the viewer into a plug-in for
		calling by foreign applications.  This will allow integration
		of the viewer into a single window for example, with IDEs.
		Added --nomenus to remove menus for the case when used in
		conjunction with --xid and it is desired to create an
		embedded wave viewer applet that cannot initiate file I/O
		on its own.  Note that earlier versions of GTK+ cannot 
		handle menu events properly from a GtkPlug.
		Fixed problem with dead memory allocations for GtkColor 
		structs in color.c as found by Valgrind.
		Moved .odt version of the user's guide into doc/ rather than
		the pdf version as this is a sourcecode distribution so the
		original word processor document should be there.  The .pdf
		will still be up on the homepage on the website.
		Added example script (for use with the -S option) in the
		examples/ directory that prints some waves then exits.
		Found problem with directive-based string embedding in older
		gcc compiler versions.
		GTK+-1.2 doesn't handle GtkPlug.
3.0.7   17jul06 Added support for dualview of waveforms using GtkPlug and
		shared memory IPC through use of the "twinwave" front end.
		Updated documentation to add a section on twinwave.
3.0.8	04aug06	Modified order of flags in twinwave as appending flags
		does not work when longopt isn't used.
		Subscripted GtkItemFactoryEntry menu_items for AIX compile.
		More AIX fixes such as conditional alloca.h usage and
		not including getopt.h.
		Removed unused "bus" element from struct fac.
		Added interactive loading of vcd files with the routines in
		vcd_partial.c.  Added shmidcat to the distribition to test 
		this new interactive loading functionality.
		Added real_parameter vartype (Riviera Aldec 2006.6) in all
		vcd loaders.
3.0.9	10aug06	Fixing compiler warnings across various systems.
		Minor speedup in sigcmp() in bitvec.c for EOS detection.
		Fix in vcd_partial.c loader that crashed on interactive
		loads when blank traces are present in the save file.
3.0.10	13aug06	Found inadvertant 64/32 bit conversion in regex.c that was
		from not including "debug.h" header file.
		Missing headers for gcc-3.2.x and earlier dealing with
		select().
		Converted fgetmalloc() to use vlists in order to cut
		down on the backflips and traversal required for the old
		one character per struct method.
		Added VCD recoder that stores the VCD in memory using a
		new recoding scheme rather than histents.  HistEnt structs
		are created as needed.  The old VCD loader is still used for
		--interactive, or if --legacy is used at the command line.
		Added dynamic zlib compression support to VCD recoder and
		vlist_compression_depth rc variable.  -1 disables, 0-9
		mirror zlib compression depths.
3.0.11	12sep06	Updated documentation to include section on VCD recoding
		strategy and LXT (version 1) file format.
		Removed unnecessary mallocs and functions in vcd_recoder.c.
		Cleanup of all warnings with gcc -pedantic in gcc3
		excluding long long integer constant and string constant
		length warnings.
		Disabled splash screen when loading VCD from stdin.
		Cygwin compile fixes (3.0.10 broke the compile).
3.0.12	19sep06	Updated production rule in verilog.g to handle compiling
		parameterized components in opensparc without dying.
		Integrated a lot of compatibility fixes from Peter O'Gorman.
		Actually use config.h for better compatibility now.
		Check for endianness in vzt read/write if XDRs not available
		rather than assuming windows will byte reverse.
		Fixed single time read in vzt_read.c as it wasn't working
		properly in rtlbrowse.
		Added -f (insert args from file) option to vertex.
3.0.13	06oct06	Updated odt file documentation to make chapter-like page
		breaks.
		Updated lxt2vcd and vzt2vcd to emit proper VCD headers for
		other tools that expect them.
		Added attempt_vecmatch() in bitvec.c to match vectors based
		on numbers inside nets in order to match on vectors inside
		of flattened netlist latches (e.g., top.xyz[0:7]_Z).
		Fixed regex compare on illegal regexs that caused viewer
		crash (e.g., when comparing on a single left bracket or
		any other illegal regex).
3.0.14	17oct06	Fixed vztminer and lxt2miner so not specifying -n actually
		works.  Updated manpages and UM for those executables to
		fix description errors.
		Now can snap cursor to named markers--this was overlooked.
		Changed recoder scheme for single bits slightly in order
		to pack 2 more bits in for 0/1 transitions.  This implies
		that 0..31 timesteps can be encoded in 1 byte for the
		common case.
3.0.15	27oct06	Added getopt_long from GNU project.
		Fixed broken compile under GTK1 for rtlbrowse makefile.
		Now can recoalesce bitblasted vectors in rtlbrowse.
		Updated finalize in vcd recoder to add fake 'x' vch for
		non-aliased facilities.  Normally all facs are initialized,
		but noticed that libvcddump doesn't do this.
3.0.16	13nov06	Patches to remove some compile warnings under Cygwin.
		Changed maxdata linker flag for AIX to allow 3.25GB of
		data segment area for AIX5.3.
		Changed unsigned integer splay comparisons in vzt_write.c
		for a slight speedup.
		Updated configure patch scripts to patch over a "LEX = :"
		glitch for AIX5.3 and also to use gcc in AIX for the pccts
		compile because of xlc incompatibilities with it.
		Fixed bug in draw_hptr_trace_vector_analog() that would
		cause crashes on pure real vectors when zoomed out fully.
		Added dynamic tooltips on current marker values for both
		the left and middle mouse buttons (not tested for Win32 
		yet so disabled there).
		Added preliminary support for changing menu accelerators
		through the rc file with an "accel" statement.
3.0.17	27nov06	Fix in vcd recoder for SystemC which doesn't emit time
		zero in the initial dumpvars.  (would be needed anyway for
		dumpers that for some reason don't as the 1st value
		change collapses into the second)
3.0.18  28nov06	Fix in vcd saver for when units are in seconds: keeps 
		timescale of "ss" being used instead of just "s".
		Locale problem in printing of floating point numbers fixed
		by setting up a local fixer routine in config.h for
		availability across all executables.
		Fix in all vcd loaders to handle "port" (along with other
		keywords) that appear in non-keyword parts of the $VAR
		declaration sequence.
		Fixed long standing LXT bug with integer datatype on 
		trivial LXT files.  (Overflow in lt_buf decoder buffer.)
3.0.19	21dec06	More locale fixing with LC_ALL.
		Added user patches from NIIBE Yutaka to remove requirement
		for the GTK_ENABLE_BROKEN flag in GTK2 compilation.
3.0.20	21jan07	Fixed string/real handling in VCD recoder as it did not
		properly add the right-hand side endcaps which would
		crash pattern search.
		Made vcd recoder more robust to be able to handle 'b'
		value changes for string data as well as wires with
		's' type data (for FlashSim).
		Updated strace.c to allow forward/backward on strings and
		reals.
3.0.21	02feb07	Added support for in/out/inout evcd ports generated by
		dumpports in ModelSim.
		Fixed problem with hierarchy being out of order as 
		treegraft needed to be followed by treesort.  This mostly
		impacted recursive hierarchy imports by only allowing
		two signals to import rather than all of them.  Also there
		was an inconsistency with the signal ordering with VCD vs
		the database formats because of this.
3.0.22	19feb07	Bumped up hash size from 65519 to 500009 entries for VCD
		parsers.  
		Bumped up max VCDID fastindex size to 8M entries.
		Now use gperf for verilog datatypes for some speedup in
		gtkwave vcd loaders.
		create_sorted_table() changed to deallocate long names in
		vcd converters as the names are no longer needed once the
		writer has them.
		Fixed GTK1 makefile in src/ as twinwave had pkg-config
		for GTK2.
		Stray getopt.h include in v2l_analyzer_lxt2.h needed to
		be #ifdef'ed with HAVE_GETOPT_H for Solaris.
		XDR library -lnsl explicitly listed for Solaris.
		PATH_MAX set if not defined in verilog.g for Vertex.
		Regenerated configure for setenv()/unsetenv() presence
		which impacts Solaris (use putenv() instead).
		Regenerated configure to handle auto detect of -lnsl, 
		-lrpc, and -lpthread.
		Added -c to file install in top-level makefile (Solaris).
		Use _LARGEFILE_SOURCE value from configure with linux
		rather than assuming it is always 1 with linux.
		Fix to preproc.c in vertex as it was attempting to parse
		directories.  This works ok under linux with EOF but causes
		problems under AIX.
3.0.23	19mar07	Added corresponding va_end() for all va_start().
		Added optional frequency display between markers, also added
		rc variable of use_frequency_display and menu options to
		support this.
		Placed mainbox for marker text label widgets inside an event
		box as this seems to fix the centering problems with GTK2.
3.0.24	02apr07	Fixed makefile generation so 32bit AIX will use >256MB in
		src/helpers.
		Updated vzt2vcd and lxt2vcd so it handles the zero index of
		bitblasted vectors (from ncsim).
		Updated vzt reader so it can re-coalesce bitblasted vectors
		provided the dumped bits are adjacent/in order.
		Minor bugfixes to vztminer and lxt2miner with respect to
		dumpon/dumpoff (don't emit $dumpon/$dumpoff strings as
		that code was leftover from the vzt2vcd).
		Updated manpages for vzt2vcd, lxt2vcd, and vztminer.
		On concatenated vector loads ('#'/':' in savefile), attempts
		to do a load of a monolithic vector on fail.  This is 
		somewhat related to the re-coalesce vectors feature above.
		Implemented reverse of this for monolithic vectors into
		the '#' bitstrand variants.
3.0.25	10apr07	System Verilog with MTI fix for VCD declarations of form 
		$var reg 64 >w #implicit-var###VarElem:ram_di[0.0] [63:0] $end
		...debussy implicitly escapes the varname during loading so 
		gtkwave does it too now for all VCD loaders.
		More System Verilog with MTI fixes: VCD (parameter) vars of 
		length zero are representative of reals with MTI: they don't
		use real_parameter like Riviera does.
		Updated vcd_saver.c to handle saving these implicit-var
		facilities correctly.  Likewise updated lxt-write.c,
		lxt2_write.c, and vzt_write.c to handle correct bracket
		stripping for the [0.0] semantics.
		Modified dynamic resize routine so large escaped names don't
		cause issues with the signal window crowding out the
		wave window.
3.0.26	18apr07	Fixed various compiler warnings discovered from looking at
		Fedora Core compile logs and later compiling against
		Centos/RHEL5.
		Commented out GTK_CAN_FOCUS for scollbars in wavewindow
		as it was causing rendering problems with newer versions
		of GTK.
3.0.27	27apr07	Renamed vertex to vermin to avoid name clashes with
		existing 3D "vertex" package on debian systems.
		Reverted back to using Open Office 2 Beta rather than
		Open Office 2 as it was causing .odt docs corruption.
3.0.28	30apr07	Changed anonymous union in struct Node as it is a
		gcc extension.
		Fixed some vcd parser memory leaks found by Valgrind.
		Moved errno check in vcd parser as it seems that
		the GTK event loop called in splash_sync() calls it
		on gnome 2.18 for some reason (reported by Gentoo
		gtkwave package maintainer).
3.0.29	27may07	Added viewer support for arrays (currently AE2 only).
		Integrated (standard) ./configure scheme thanks to
		Dan McMahill
		Added strdup_2 to debug.c for memory tracking (found
		stray strdup() instances in ghw.c).
3.0.30	24jul07	Removed variable declaration in menu.h that tcc
		complained about (should have been an extern).
		Updated configure.ac to use AC_SYS_LARGEFILE for
		> 2GB file compatibility with older linux systems.
		(This was accidentally left out in 3.0.29.)
		Added ignore_savefile_pos and ignore_savefile_size
		rc variables.  (Requested by Edward Ash.)
		Added ability to specify trace background color in
		filter processes by prefixing the return string with
		?color? as in "?CadetBlue?xor r0,r0,r0".  The colors
		used must already be specified in the rgb.c file.
		Removed check for c++ compiler presence in the
		autoconf.
		Fixed LIBBZ_CFLAGS in .am files that really should
		be LIBBZ2_CFLAGS.
3.1.0	25aug07	Moved to a global context variable management scheme
		for future code expansion.  Reload waveform function
		added which uses context management.  Update user
		manual to include references to reload capability.
3.1.1	20sep07	Fixed crash in hierarchy search reload.  (Wrong
		pointer type introduced from code cleanup.)  Adding
		start of tabbed browsing support.  Put in window
		select focus switching between tabs (e.g., on 
		search windows).  Added locking in main iteration
		loop to detect unexpected context switches and
		fix/report them (wrong operation if it occurs).
3.1.2	24dec07	Compiler warning cleanups from Sun compile logs.
		Added named parameter support to vermin parser.
		Added check for gperf back into configure.ac.
		Added vlist_spill rc variable to control new 
		feature of spilling vlists to a tempfile on disk.
		Fixed vcd loader status bar on files > 2GB.
		Removed non-growable vlists and also finalize
		aliases in order to be compatible with spill.
		Changed vlist allocation scheme to allocate only
		half as much per-block, then the rest when the
		half-way point is reached.  This reduces memory
		wastage to an average of 12.5% rather than 25%
		on uncompressed blocks.
		Added code to pre-process data in vlists through
		an LZ-based compressor.  The can cut down on
		memory usage ever further.  This can be enabled
		with the vlist_prepack rc variable.
		Added --giga option to turn on vlist_spill and
		vlist_prepack from the command line.
3.1.3	13jan08	Added dynamic keypress detection in the Pattern Search 
		Requester so users do not need to press enter for search 
		strings.  Likewise, added the rc variable sst_dynamic_filter 
		to enable the same type behavior for the signal name filter in
		the GTK2 signal search tree.
		Fixed bug where filtered signal names did not reappear on 
		reload.
		Updated user manual as necessary.
		Added "edge" left/right buttons for handy single signal edge 
		detection due to user requests.
		Fixed long-standing backward edge seek bug in pattern search.
		(It would miss the preceeding edge if the marker isn't already
		on one.)
		Added use_standard_clicking rc variable in order to enable 
		"normal GTK" shift/click semantics in the signal window.
		Collapse/uncollapse is now shift-ctrl when 
		use_standard_clicking is active.
		Added prelim dnd for use_standard_clicking mode.
		use_standard_clicking disabled in GTK-1.2 as there are dnd 
		issues.
		Recalculate signal width on reload as sometimes it was missed.
		Added input focus capability to signalwindow.
		Moving menu options to standard GTK accelerator keys.
		Added Ctrl-A/Shift-Ctrl-A handler to the treeview so it acts 
		like the signal window.
		Added left/right scrolling hotkeys in signal window.
		Added use_toolbutton_interface environment variable which 
		enables new user interface at the top of screen.
		More modifications to handle globals swapping in multi-tab 
		mode.
		Update configure.ac to handle library order problem with
		cygwin in rtlbrowse.
		Added scrollwheel support in signal window when focused and 
		standard clicking is active.
		Added "Use Color" and "Use Black and White" (for screendumps)
		View menu options from user requests.
		Fixed help window so it is not editable.  Also do an implicit
		click-to-front for window managers that automatically move
		windows to front when their insides (not decorations) are
		clicked on.
3.1.4	30jan08	Added fix for how dnd gets killed after reload on new
		versions of GTK (e.g., 2.10.14).
		Added dirty_kick in MaxSignalLength that forces usize if
		width is dirty.
		For interpolated analog traces, fixed interpolation at
		end of line so it doesn't cause endpoint to go offscreen.
		Experimenting with track-and-hold fixing.
		Integrated spice3f5 poly interpolation routines, but are
		currently unused.
		Now allow both interpolated+step simultaneously for analog.
		Integrating signal window popup menu code.
		Fixed rendering bugs in coalesced vectors displayed as analog.
		Added resizing options that are windowed to either the screen
		or across all trace data.
		Added enter=OK as a default file chooser response.
		Added DND and standard clicking to GTK1 compiles.
3.1.5	14feb08	Fixed bug in rtlbrowse that causes lxt2 dumps not to be value
		annotated.  (signal match worked for bitblasted nets only)
		Updated rtlbrowse so that it can update dynamically with the
		marker position.
		Added anti-aliased font rendering code.
		Added use_pango_fonts rc variable.
		Updated edge buttons so they can handle multiply selected
		signals.
		Logfile(s) now update on waveform reload.
		MinGW compile fixes.  MinGW crash on reload fixed.
3.1.6	27feb08	Added additional #ifdefs that disable Pango on GTK versions
		less than 2.8.0.
		Added support for optional sideband .aetinfo files when
		using .aet files.  Update interface to rtlbrowse to
		translate time value back to original aet ones.
		Improved x vs X (z vs Z, etc) handling at signal boundaries.
		Changed color scheme where red marks X data similar to how
		it marks U for VHDL.
		Fixed longstanding bug in linear lxts that only occurs if
		integers are present in the lxt dump (length is failed to
		be promoted to 32 during re-chaining).
		Fixed shift-clicking when use_standard_clicking is active
		such that shift-clicks past the last trace when the
		signalwindow isn't fully populated count as a shift-click
		on the last trace.
3.1.7	23mar08	Updated file.c to remove potential file chooser crash in
		the case of a missing save file at the command line followed
		by "write save file as".
		Fixed problem in black and white mode where process filter
		could possible cause colors to be displayed when ?color?
		value escaping is used.
		Fixed problem where primary marker was listed as 0 sec on
		init instead of -- if not set initially from a save file.
3.1.8	06apr08	Added Range Fill option in the data format menu so
		that vectors like address[31:2] will display as a human
		expects to read them.  Fill in can be zeros or ones.
		Added trace flags display at right hand side of signal name
		in mouseover popup window.
		Added more visual feedback in signal D&D window in order
		for users to determine more easily where a drop will insert.
		Reduced visual noise on D&D by not updating screen after a
		cut if a paste also occurs.
		Fixed problem where primary marker was filtered through
		time_trunc() on initialization.  Removed other time_trunc()
		calls causing similar problems as necessary.
3.1.9	20apr08	Added missing init_filetrans_data() to reload function.
		Updated manfiles to get them in line with Debian lintian.
3.1.10	14may08	Added missing adjustment of t->shift in mouseover.c in 
		order to allow the mouseover on shifted traces to display 
		properly.
		Fixed problem with edgebutton going back two edges on a
		combined vector.  (Cut and paste typo from strace.c.)
		Added support for DND from regex search window to the
		signal/waveareas.
3.1.11	18jun08	Compile fix for tla2vcd in MinGW.
		Added #ifdef for HAVE_BZERO for MinGW.
		Compiler warning fixes.
		Added time = -1 endcaps in LXT2+VZT+AET loaders.
3.1.12	14jul08 Compiler warning fixes.
		Fixed crash in vcd recoder for b vs 01xz mixups in
		malformed VCD files.
		Fixed abort on VCD load for malformed size vs 
		[msi:lsi] syntax.  NC does this on arrays of wires.
		Fix to vlist reader with --giga enabled in order to
		handle reads which go off the end of the file.
		(Possible due to how only the amount used in a block
		is actually written to the file in order to save
		space, so the vlist blocks can be overlapping yet
		usable.)
3.1.13	20aug08	Adding compressed hierarchy handling in order to
		reduce memory usage on large bitblasted models.
		This is currently only enabled for the VCD recoder,
		LXT, LXT2, and VZT loaders.
		Fixed some buffer overflows in vectorization code
		(and when vectorization is enabled) in vzt_read.c.
		Modification to autoconf handling for rpc.h.
		Fix for DnD to directly under an expanded comment
		trace.
		Make step_increment equal to 1/10 page_increment
		for the horizontal scroller in the wavewindow.
		Added sticky click semantics for clicks in signal
		window.  To do this in the treesearch_gtk2 file
		will require some additional future work with 
		view_selection_func() and/or signal handling and
		trapping.
3.2.0	16feb09	Fix for strings ('s' type) in recoder.  
		Added timestart command to savefiles which indicates
		what the leftmost position should be on reload.
		Added support for as/zs small timescales as well as
		0.1/0.01/0.001 multipliers which simvision can emit.
		Adding signal name DnD support from external apps.
		Force open tree nodes on initial .sav file read.
		Yet more new warning (-Wall) cleanups.
		Fixed ExtractNodeSingleBit for vectors which do not 
		have a zero in either the msb or lsb (e.g., [1:9]).
		Added support for dragging files into the viewer
		(i.e., dumpfile, savefile, stems file)
		Added DnD of signal names from RTLBrowse source code
		windows	directly into gtkwave.  
		GTK1 compiler compatibility fixes.
		Improved search performance from rtlbrowse initiated
		DnD searches.
		Fixed wave_locale.h for MinGW.
		Fixed longstanding bug with blackout regions
		rendering.
		Integrating embedded Tcl interpreter.
		Fixed bug with unformat time when base time is in
		seconds.
		Added tcl example in examples/ directory.
		Updated -f argument file handling in vermin.
		Updated tcl_helper code so that signalwindow drags of bit-
		blasted vectors are properly re-coalesced for client code
		for the drag.		
		Updated task definition in vermin so that identifiers with
		dots in them can be used as task enable names.
		Fixed problem in vermin preprocessor where defines in 0x0d0a
		terminated lines would insert the carriage return into the
		sourcecode.
		Added synthesis pragmas which mirror synopsys ones to vermin.
		Used gtk_window_set_default_size instead of gtk_widget_set_usize
		in rtlbrowse in order to allow window to shrink appropriately.
		Made size_tag values smaller in logfile.c in gtkwave/rtlbrowse.
		Allow dragging from rtlbrowse window without needing to high-
		light signals first.  This allows single signal drags.
		GCC-4.1.3 with -O3 flag fix for x86_64 on xchgb instruction
		assembler intrinsic.
		Use gtk_window_set_transient_for() on simplereq windows.
		Starting to update environment for rtlbrowse into a single
		integrated window.
		Adjust xthickness/ythickness in toolbars to make images
		take up less space.
		Preliminary support for text searching in rtlbrowse.
		Added rtlbrowse case (in)sensitive searching both directions.
		Fix re-entrancy on file names for file.c file requester in
		gtkwave.
		Add --with-tcl to configure script via tcl.m4 macro.
		Cut down on visual noise during reload.
		Added fix using TCL_INCLUDE_SPEC to get to compile under
		Ubuntu.
		Fixed lost num_cpus variable that wasn't passing through reload.
		Added Cut/Copy/Paste functionality that allows multiple pastes
		rather than destroying the cut buffer on paste.  Cut buffer
		contents will survive after a reload operation.
		Removed translate filter process from mingw32 menu as it
		is disabled in the compile by #ifdef'ing in empty functions.
		Updated shmidcat so it restarts properly when VCD is being
		looked at while sim is running and gtkwave gets ahead of
		the generated VCD.
		Fix crash on invalid node for force tree open during load.
		Added Partial VCD Dynamic Zoom and related zoom_dynamic
		rc variables.
		Added prelim support for export to TimingAnalyzer file format.
		Added mouseover support in signal window which shows full
		facility name.
		Added dynamic update on strings for marker values which keeps
		user from having to press enter explicitly for each one.
		Now allow support for named markers using optional user names
		rather than just 'A'-'Z' labels.
		Added countdown timer to remove dnd cursor if it is onscreen
		longer than 5 seconds.
		Added support for setting optional user names for named markers
		via Tcl scripts.
		Added prelim auto-scrolling code for trace adds/copies.
		Added support for Tcl repscripts.
		Removed warnings found when compiling with -Wshadow.
		Fix for stack crash in treesort() on dumpfiles with an 
		extremely large number of signals (e.g., 5 million).
		Compile fixes for rtlbrowse in older versions of GTK2.
		Remove stray tempfiles created by --giga writer under MinGW.
		Removed stray file descriptor from lxt on reload.
		Fixed repeat error problem in lxt.c introduced by -Wshadow fix.
		Added prelim version of scale_to_time_dimension rc variable and 
		appropriate menu options.
		Beginning to update user manual to reflect new 3.2 features.
		Added Partial VCD Dynamic Zoom To End and related 
		zoom_dynamic_end rc variables.
		More mingw compile fixes: the whole tarball compiles now.
		Use old file chooser (for now) in mingw as the new one seems
		not to be re-sizeable.
		Added "copy traces" to toolbar in gtkwave as copy function now
		exists.
		Added check for "server" in env var CYGWIN before printing 
		warning about using shared memory.
		Added vpi client lxt/lxt2/vzt writers in contrib/vpi, but these
		currently are not built.
		Compatibility fix for gcc 3.x.
		Added extload capability which grabs data via popen().  This 
		allows adding loaders for unsupported formats via data mining.
		Added Tcl command setBaselineMarker.
		Extload hardening on reload.
		Added extload filetype to DnD.
		Fixed window close when tabs active so it would update the
		titlebar, times, etc., to the current tab.
3.2.1	09apr09 Add more information for users if gtk can't initialize on MacOS.
		Added possibility for vlist.c to write out the spill file in a
		machine independent fashion.
		Added --fastload option to gtkwave.
		Fixed autoconf so Tcl works in cygwin.
		Updated cygwin "Bad system call" warning text to include more
		possible fixes.
		Fixed warning message in strace.c ("Named Marker xx not in use")
		which spanned multiple lines because of multiple status_text()
		calls.
		Fixed STATUS_ACCESS_VIOLATION in cygwin for both vztminer and
		lxt2miner and added the --comprehensive option in each.  Also
		added behavior that no search string specified matches all
		value changes across all nets.
		Updated documentation description for collapsible groups to
		indicate that shift-control is required, not control
		(as previously).
		Adjusted brightnesses for mdgray and dkgray so collapsed
		traces are visible on some monitors.
		Fixed dkgray->mdgray for "Time" background as brightnesses
		have changes.
		Made AN_NORMAL/AN_REVERSE const declarations also static in
		order to avoid unnecessary stack pushing.
		Fixing reloader crashes in vcd_build_symbols().
		Adding experimental support for bringing up gtkwave without a
		trace like "every other" GUI app.  This is currently disabled 
		pending more testing.
		Sort filename lists from DnD in order to allow both a
		dumpfile and a savefile to be dragged into the viewer and
		processed in a logical order (i.e., the dumpfile before
		the save file.)
		Added disable_empty_gui rc file variable.
		Working with ergonomic features of empty gui handling.
		Fixed & to && in if() comparison in lxt_write.c
		Added support for PDF output via ps2pdf.
		Added support in VZT file format for LZMA compression.
		Fixed calling to install_keypress_handler() as it only needs
		to be done once.
		Add menu blackouts on empty gui.
3.2.2	02aug09	Changed some instances of exit() in main.c to vcd_exit() in
		order to keep failed loads in tabs from killing the whole
		session.
		Update VCD ID generation in VCD writers to use XL-style
		identifier sequencing.
		Fix MinGW printf format strings for helper apps.
		Ported shmidcat and partial VCD loader function to MinGW.
		Added twinwave support in MinGW.  This currently has some
		problems with D&D as well as window decorations being
		present due to various system incompatibilities.
		Added rtlbrowse support in MinGW.
		Fixed rtlbrowse on reload, now allows to respawn.
		Fixed rtlbrowse in cygwin as kill() does not work the same
		as posix kill.
		Added clearing of t->minmax_valid in dataformat() in case
		sign bit changes for analog vectors when TR_ANALOG_FULLSCALE
		is in use.  This allows dynamically changing from/to "signed
		decimal" and other modes and removes any y-scale artifacts
		from having stale minmax data.
		For rtlbrowse kill, step through all contexts in atexit()
		handler.  More exit fixes for rtlbrowse killing.
		Warning fixes for printf format strings in lxt2/vzt/ghw.
		Updated VCD parser to handle names like "a[1] [3:0]".
		Added VCDNAM_ESCAPE cases in lxt, lxt2, and vzt loaders.
		Updated VCD writers so they put spaces before bracketed 
		signal ranges.
		Added extra message for help requester if file type is
		MISSING_FILE in order to direct users what to do.
		Fixed segfault caused by improper search/replace and
		malloc length in renderopt.c for ps2pdf.
		Beginning to integrate FST file format support.
		Optimize rtlbrowse somewhat by not redoing fac finding
		every time the cursor moves.
		Beginning to integrate FST into rtlbrowse.
		Added vcd2fst and fst2vcd helper utils.
		Added option to FST to allow monolithic post-compress for
		much smaller file sizes.
		Documentation updates.
		Added capability in GHW reader for negative indices on
		bitstrands.
		Added variable type support to VCD + impulse arrows for
		depicting events on VCD (other formats will migrate in the
		future).
		Added vartype info (if avail in trace, now FST+VCD).
		Added module type info (if avail, now FST+VCD+GHW only) by
		decorating the hierarchy tree info appropriately.
		Changed build_tree_from_name() to perform move to front for
		hier names in order to work better with decorated trees.
		Add EVCD support to vcd2fst, fst2vcd, and FST loader.
		Fix longstanding bug in vcd parsers where evcd did not
		parse correctly if standard VCD IDs were used.
		Fixed "f" value in EVCD files so it converts to z, not x.
		Convert capitalization usage on hex values to match that
		of verilog.  (i.e., x = all bits are x, X means some but
		not all bits are x.)
		Change magic number 3 to AN_1 in vtype2().  This was skipped
		by an earlier sourcecode conversion.
		Change file requester handling so old names are copied
		to the new tab and the directory used on an unspecified
		entry is derived from the loaded file name directory.
		Added type information to mouseover in signal window.
		Added --enable-fatlines ./configure flag which enables gtkwave
		to render lines in "Fisher Price" (aka Simvision) double-
		width style.
		Added evcd2vcd to the distribution.
3.2.3	03sep09 Set iconify icon for gtkwave with gtk_window_set_icon().
		Ensure -d flag survives across reloads/new tab.
		Added LIBZ_CFLAGS to helpers/fst AM_CFLAGS for MinGW.
		Added gtk_window_set_resizable for pWindowMain in file.c
		as some distros need this.
		Changed some strace.c globals to "signed char" to remove
		warnings under AIX compiles.
		AIX ./configure fixes.
		Added malform_eof_fix() to recoder and regular VCD loader.
		Fix to attempt_vecmatch_2 when no suffix encountered.
3.3.0	25dec09	Modified unformat_time() so it can also handle floating-
		point exponential format.
		Integration of a large amount of group handling sourcecode 
		from Don Baltus / Bluespec, Inc.
		Re-integrated original Simpod repscript handling.
		Reworked force open tree node and moved to
		tcl_support_commands.c.
		Renamed liblzma to libgwlzma for now: liblzma is present
		on some systems and causing conflicts.
		Fix for tk library issue on Cygwin.  (Use TK_BUILD_LIB_SPEC
		if TK_LIB_SPEC is a null string.)
		Added --enable-stubify ./configure option to make Tcl/Tk
		library usage be completely dynamic.
		Generate anonymous name for groups to prevent crashes when
		group name is unspecified.
		Added EnsureGroupsMatch() on various parsewavline() code
		sections to enforce legitimate group formations.
		Applied gtk+-1.2 compile fixes as compile was getting out
		of sync as it hadn't been tested recently.
		Allow more events in GuiDoEvent() to prevent hangs.
		Made --wish and --vcd mutually exclusive as they both require
		input on stdin.
		Enabled gc caching on linux to help with rendering extremely
		dense traces.
		Removed memcpy() ops in baseconvert.c and changed them into
		pointer copies as the copied string is never modified.
		Resequenced enum WV_MenuItems in menu.h which lost ordering
		from Bluespec menu item adds.
		Added gray code conversion ops.
		Added FST detection to gtkwave::getDumpType.
		Added missing context change variables in context_swapper().
		Added gtkwave::setTabActive and gtkwave::getNumTabs
		MinGW tcl compile fixes.
		Cygwin fix for optimized vcd -o option.
		Disabled reload on optimized vcd from stdin.
		Removed --wish when building under MinGW and when specifying
		--enable-stubify for configure (for now, the fail is with
		TkMainEx).
		Removed tempfile generation for Tcl script execution; now
		use alloca based scheme.  Also removed old-style "script"
		file support as it is obsolete: all scripts are Tcl scripts
		now.
		Integrated user-provided rework of rgb.c.
		Removed local lzma library and now use system xz if 
		available. Old VZT files using -z 2 are no longer readable
		but can be converted using vzt2vcd from an old version of
		gtkwave.
		Various warnings fixes.
3.3.1	03jan10	Fixed Makefile.am files to allow builds into different
		directories than the current one.
		GHW crash fixes.
		In fstapi.c now use tmpfile() to generate tempfiles in
		order to speed up operation on networked filesystems.
		Fixed problem in fstapi.c with conflict between off_t
		and unsigned longs on some 32-bit systems which cause a 
		"tsec uncompress" failure on reads.
		Fixed missing dependencies in various Makefile.am files.
3.3.2	05jan10	Emergency fix for ghw.c as it was missing a close comment
		causing problems with iterative generate.
3.3.3	18feb10	Fix for Pattern Search where end marker time was not used
		for dropping down a marker: for clock counts this would
		mean that the clock count was off by one.
		Added locking/unlocking of named markers against the
		primary marker with 1/2/0 keys.
		Fixed broken "make distclean".
		Added patch for move to time against named markers.
		Added a secondary pattern search function.
		Added sanity checking on WAVE_NUM_STRACE_WINDOWS.
		Added fix for broken bsearch_facs() when characters like
		"$" are in a facname and it matches a hierarchy boundary.
		Removed tla2vcd from distribution because of incompatibility
		with some TLA700 traces.
		Removed obsolete helper executables.
		Activated preliminary line clipping for analog rendering as
		line clipping in GTK does not always work for extreme
		value ranges.
		Hang in gtkwave on backtracking time fix (usually caused by
		truncated files).
		Replaced Tcl_GetStringResult() in tcl_np.c with Tcl_GetVar()
		on Tk init fail.
		Added AC_CHECK_LIB([dl], [dlopen]) (Fedora requirement).
		Added --disable-xz (requested by Gentoo).
		Added extern "C" { } bookends to headers for C++.
3.3.4	07mar10	Change slope calculation "m" in clipping.c to all doubles
		in order to avoid integer overflow errors.
		Change main resync loop name from gtkwave_gtk_main_iteration
		to gtkwave_main_iteration.  (Start of separation of GUI code
		from functional code.)
		More fixes to analog clipping: yt0/yt1 were reversed, also
		added analog_redraw_skip_count env var.
		Added support for "realtime" VCD variable.
		GTK1 compile compatibility fixes for pattern trace.
		Removed "Reduce Single Bit Vectors" menu option.
		Added defensive re-link of t_prev on prepend and cut ops.
		Fix for analog stretch traces when analog trace was
		expanded and then collapsed.
		Fix to LZMA_write_compress to detect xz compression failures.
3.3.5	19mar10	Fix for usage of deallocated next pointer in the
		force_open_tree_nodes loop (spotted using an alternate
		allocator).
		Added optional preliminary Judy array support.
		Fixed compiler warnings.		
		Fixed toggle max hier so it toggles back and forth between
		the most previously set hierarchy depth.
		Added ".lxt2" to list of suffixes allowed by gtkwave.		
		Remove name field from struct fac.
		Added missing hierarchy boundary sort for FST in order to
		allow compatibility with compressed names (-C flag).
		Removed resolve_lxt_alias_to field from struct fac.
		Removed lxt-only lastchange field from struct fac.
		Removed unused h field in struct symbol.
		Removed nextinaet field if unused, recoded to symchain when
		used.
		Fixed && used in logical operation for generating ExtNode.
		Removed ExtNode, made inline with Node.
		Deallocate symbol hash table after no longer needed.
		Only allocate hash when necessary.
		Added marker vs maxtime marker conflict check in
		kick_partial_vcd() to ensure signal window values reflect data
		value rather than x when maxtime scrolls over the marker time
		and makes the marker visible.
		More Judy array adds for VCD.
		Removed sym->selected member and replaced with 1-bit Judy array
		if enabled.
3.3.6	01may10	Added RealToBits menu options for displaying real numbers as
		binary values.
		Added missing break statements to terminate cases in
		bits2vector().
		Fixed cut and paste error on FILE_FILTER_MAX versus
		PROC_FILTER_MAX.
		Reduced FILE_FILTER_MAX from 1024 down to 128.
		Added preliminary transaction filter support.
		Added transaction parser in examples/ directory.
		Updated time warp handling.
		Updated print routine to use populateBuffer().
		Added raise to front when filename selected in filter dialogs
		as this helps with some window managers.
		Remove color for translated/transaction traces in black and
		white mode.
		Copy gc_grid_wavewindow_c_1 from gccache on reload as this was
		accidentally overwritten with gc_grid2_wavewindow_c_1 without
		adding back gc_grid_wavewindow_c_1.
		Added fstWriterSetTimescaleFromString() to fstapi.c which
		allows usage of strings such as "1ns" for the timescale.
		Incorporated FST writer optimizations.
		Incorporated some fixes suggested by cppcheck.
3.3.7	03jun10	Made enable_fast_exit rc variable default to yes.
		Compiler warning fix in lxt_write.c/fstapi.c for Open Solaris.
		Added fstWriterGetDumpSizeLimitReached() to fstapi.c.
		Fixes to Tcl string handling.
		Applied user-supplied fixes for null pointer crashes in 
		rtlbrowse.
		Moved gtk_grab_add() after gtk_widget_show() in order to work
		with newer versions of GTK.
		Use PRId64/PRId32 in lxt2_read.h and vzt_read.h to remove
		printf format warnings.
		Fixed "format not a string literal and no format arguments"
		warnings.
		Added missing HAVE_INTTYPES_H in compile note for
		transaction.c.
		Disable autocoalesce if Icarus Verilog is detected.
		Added units forward scan in logfile.c.
3.3.8	25jun10	Added failure check on tempfile create in fstReaderInit().
		Added strace_repeat_count and appropriate menu option.
		Removed the "/File/Quit/Don't Quit" menu item if fast exit is
		enabled.
		Added dnd of signals from gtkwave into rtlbrowse: now the
		appropriate verilog code sections automatically are
		imported.
		More warnings cleanups.
3.3.9	06jul10	Changed accelerator for Quit to conform to Gnome standard
		menus guidelines.
		Update local libz and libbz2 to current versions.
		Moved version string out to version.h to keep from having
		the CVS data updating in currenttime.h.
		Fix crash that can occur in RemoveTrace.
		Header file cleanups.
		Fixed actual result of crash in RemoveTrace: defensive
		re-linking in PasteBuffer didn't always relink the back
		pointers properly.
3.3.10	16jul10	Fix in vermin Makefile.am for parallel build failures involving
		shred.c depending on tokens.h.
		Added missing dependencies in various Makefile.am files.
		Fix for free to non-malloc'd address problem in main.c and
		menu.c due to context changing in Tcl scripts when
		gtkwave::/File/Open_New_Tab is invoked.
		Updated vcd2fst so it is compatible with VerilatedVcd writer.
		Read hierarchy reconstruction hardening for fstapi.c.
		Check return code for hierarchy generation in fst2vcd.c.
		Updated example to reflect Quit name change.
		Updated repscript_timer so it prints stack trace.
		Use setvbuf (as with MinGW) for fstapi.c to fix for OS X.
3.3.11	17aug10	Added tcl functions gtkwave::installFileFilter,
		gtkwave::installProcFilter, gtkwave::installTransFilter,
		gtkwave::setCurrentTranslateFile,
		gtkwave::setCurrentTranslateProc,
		gtkwave::setCurrentTranslateTransProc, and
		gtkwave::setCurrentTranslateEnums to give Tcl access to these 
		features.
		Add write combining in fstWriterEmitValueChange to speed
		up execution on Cygwin.
		Nested `ifdef fix for Vermin.
                Fix for free to non-malloc'd address problem in repscripts
                due to context changing in Tcl scripts when reload occurs.
		Added gtkwavetcl_setvar() for starting to build a framework
		to support Tcl variable change callbacks.  This can be used
		to closely monitor how a user manipulates the gtkwave GUI.
		Fix for 0 millisecond Tcl timer causing 100% CPU usage.
		Added CVS versus ModelSim compatibility fixes for Bluespec
		savefiles.
		Fix for atoi_64 when value is zero followed by a legitimate
		nonzero value after some garbage non-numerics.
3.3.12	29aug10	Compile fix for --disable-tcl or systems which do not have
		Tcl installed.
		Added support for process filters in MinGW.
		Added support for transaction filters in MinGW.
		Added support for Open New Window to MinGW.
3.3.13	23sep10	Reduce memory footprint of VectorEnt on 32-bit architecture
		by struct reordering.
		Added warnings for options that are non-functional for some
		configurations.  (They are not disabled in order to allow
		compatibility across systems.)
		Fixed dangling fnam malloc in fst.c.
		Reduced temporary memory usage during file init for lxt2,
		vzt, and fst files by using F_NAME_MODULUS wrap on f_name.
		Sparse vs non-sparse array crash fix for ae2 loader.
		Suppress decorated treebuild for fst when compressed facs
		are being used: this was causing duplicate tree entries.
		Fixed renderhash problems in print.c caused by disparity
		in eqns used in wavewindow vs print.c (need realx, not
		just x).
		Upgrade local libbz2 to 1.0.6 for uncompress security fix.
		Added experimental dynamic SST building code which speeds
		up initialization time for trees with extremely large number
		of scopes.
3.3.14	26oct10	Fixed force_open_tree_node() for dynamic SST trees when
		unbuilt nodes are encountered during traversal.
		Allow VCD files where start = end time.
		Compiler warning fixes.
		Added preliminary RPC mechanism to gtkwave.
		Added --disable-inline-asm ./configure flag.
		Added initial_signal_window_width rc var.
3.3.15	10nov10	Added check in fstapi.c for corner case where
		fstWriterEmitSectionHeader could make a file unusable if.hier
		is not present.
		Added more checks in fstapi reader to prevent crashes on 
		malformed files.
		Add config.h #include to the fstapi.c code.
		Add detection in vcd2fst for Verilog XL-style VCD identifiers
		to speed up reading VCD files from those simulators.
		Speedup in fst writer by ensuring checkpoint is not written
		to for every fstWriterEmitValueChange call.
		The --optimize flag now uses fst instead of lxt2 as its
		default file format use vcd2lxt2 directly if old behavior
		(e.g., converting flat signal names to hierarchies) is
		desired.
3.3.16	24nov10	Remove unused JError variables and replace with PJE0 macro.
		Added experimental dynamic alias detection in fst writer if
		Judy arrays are detected.  (Judy not required for reading.)
		Added Jenkins hash routine to enable dynamic alias detection
		for when Judy not available.
3.3.17	28nov10	Added sanity check in dynamic alias reconstruct routine in
		FST reader and also fixed bug where alias reconstruction in 
		current blocks doesn't overwrite previous, old block data.